runcpu v5749 started at 2021-07-08 14:38:57 on "ton8" runcpu is: /notnfs/vmakarov/spec2017/bin/harness/runcpu runcpu: runcpu -a build -T base -c spec.cfg -f intspeed fpspeed toolset: linux-x86_64 Locating benchmarks...found 47 benchmarks in 53 benchsets. Reading config file '/notnfs/vmakarov/spec2017/config/spec.cfg' 2 configurations selected: Action Benchmarks ------ ---------------------------------------------------------------------- build intspeed build fpspeed ------------------------------------------------------------------------------- Setting up environment for running intspeed... Starting runcpu for intspeed... Running "specperl /notnfs/vmakarov/spec2017/bin/sysinfo" to gather system information. sysinfo: r5797 of 2017-06-14 (96c45e4568ad54c135fd618bcc091c0f) sysinfo: Getting system information for Linux... sysinfo: ...getting CPU info sysinfo: ...getting info from numactl sysinfo: ...getting memory info sysinfo: ...getting OS info sysinfo: ...getting disk info sysinfo: ...trying to get DIMM info from dmidecode Retrieving flags file (/notnfs/vmakarov/spec2017/config/flags/gcc.xml)... Verbosity = 5 Action = build Tune = base Label = x86_64-m64 Size = refspeed benchmarks= 600.perlbench_s,602.gcc_s,605.mcf_s,620.omnetpp_s,623.xalancbmk_s,625.x264_s,631.deepsjeng_s,641.leela_s,648.exchange2_s,657.xz_s,998.specrand_is outputs = txt,html,cfg,pdf,csv username = vmakarov Environment settings: LD_LIBRARY_PATH = "%{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64" Benchmarks selected: 600.perlbench_s, 602.gcc_s, 605.mcf_s, 620.omnetpp_s, 623.xalancbmk_s, 625.x264_s, 631.deepsjeng_s, 641.leela_s, 648.exchange2_s, 657.xz_s, 998.specrand_is Checksum mismatch for executables (stored: 6e7dc16580a347257d250029d103d00c11250f6595302f078d323e8da0aa9a25) Checksum mismatch for executables (stored: fd38c396a4a7affa05246161a112458c028d4c33ae2ed05cc0de53d2338d17dc) Checksum mismatch for executables (stored: f24da08724b5cb7edd9bb522212db1589d0363fe6dfb4cdf63609aff0fc59570) Checksum mismatch for executables (stored: 687b44069198eb8cf17f3156aec9c8c42122d20732b1a8095c9b70cf72f382b6) Checksum mismatch for executables (stored: d765c6c1b7f0a2abcae45f08460b37a96a0239c33053c12b2d045c3a3e63a3dd) Checksum mismatch for executables (stored: 2b5f9d66689628955f9bee2990860b5460289487a4ab90d3816cd79d242beaa0) Checksum mismatch for executables (stored: cef75ab40dfb92b4edb67974b949b9d4bc9437af8b1a57cc77df7742bd0f3719) Checksum mismatch for executables (stored: b7b8ff140b69059067f5c6f9252a3beff2ead984265a364c7724ef6c4f61edd5) Checksum mismatch for executables (stored: d51a892b0fea593db25ddf2448a89d59ca64afac7985da1507e04444be38116c) Checksum mismatch for executables (stored: 6ded6b3fa305c0cd1691be0660a95ea8a3711afa1cb5501bfd2c6b8f4ce2f5d6) Checksum mismatch for executables (stored: c59b0fd9a58319cac1384cac1a75bfe1a8670c9ac62020288b78dda705087a79) Checksum mismatch for executables (stored: 6e7dc16580a347257d250029d103d00c11250f6595302f078d323e8da0aa9a25) Checksum mismatch for executables (stored: fd38c396a4a7affa05246161a112458c028d4c33ae2ed05cc0de53d2338d17dc) Checksum mismatch for executables (stored: f24da08724b5cb7edd9bb522212db1589d0363fe6dfb4cdf63609aff0fc59570) Checksum mismatch for executables (stored: 687b44069198eb8cf17f3156aec9c8c42122d20732b1a8095c9b70cf72f382b6) Checksum mismatch for executables (stored: d765c6c1b7f0a2abcae45f08460b37a96a0239c33053c12b2d045c3a3e63a3dd) Checksum mismatch for executables (stored: 2b5f9d66689628955f9bee2990860b5460289487a4ab90d3816cd79d242beaa0) Checksum mismatch for executables (stored: cef75ab40dfb92b4edb67974b949b9d4bc9437af8b1a57cc77df7742bd0f3719) Checksum mismatch for executables (stored: b7b8ff140b69059067f5c6f9252a3beff2ead984265a364c7724ef6c4f61edd5) Checksum mismatch for executables (stored: d51a892b0fea593db25ddf2448a89d59ca64afac7985da1507e04444be38116c) Checksum mismatch for executables (stored: 6ded6b3fa305c0cd1691be0660a95ea8a3711afa1cb5501bfd2c6b8f4ce2f5d6) Checksum mismatch for executables (stored: c59b0fd9a58319cac1384cac1a75bfe1a8670c9ac62020288b78dda705087a79) Compiling Binaries ------------------------------------------------------------------------ Checksum mismatch for executables (stored: 6e7dc16580a347257d250029d103d00c11250f6595302f078d323e8da0aa9a25) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX_X64" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_LINUX_X64" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: a04b02ecbf35144080977e0a3c0a03671e84998d973f9a349be618ee6c826dfb) Building 600.perlbench_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:38:59] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename XSUB.h)): XSUB.h perlapi.h $(addsuffix $(OBJ), $(basename av.c)): av.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename config.h)): config.h perl-orig-config.h spec_config.h $(addsuffix $(OBJ), $(basename cpan/Digest-SHA/src/sha.c)): cpan/Digest-SHA/src/sha.c cpan/Digest-SHA/src/sha.h cpan/Digest-SHA/src/sha64bit.c cpan/Digest-SHA/src/sha64bit.h $(addsuffix $(OBJ), $(basename deb.c)): deb.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename doio.c)): doio.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename doop.c)): doop.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename dump.c)): dump.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h mg_names.inc op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regcharclass.h regcomp.h regexp.h regnodes.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Cwd/Cwd.c)): ext/Cwd/Cwd.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Data/Dumper/Dumper.c)): ext/Data/Dumper/Dumper.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Devel/Peek/Peek.c)): ext/Devel/Peek/Peek.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Digest/MD5/MD5.c)): ext/Digest/MD5/MD5.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Digest/SHA/SHA.c)): ext/Digest/SHA/SHA.c EXTERN.h XSUB.h av.h config.h cop.h cpan/Digest-SHA/src/sha.c cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/File-Glob/Glob.c)): ext/File-Glob/Glob.c av.h config.h cop.h cv.h embed.h embedvar.h ext/File-Glob/const-c.inc form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/IO/IO.c)): ext/IO/IO.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h ext/IO/poll.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/IO/poll.c)): ext/IO/poll.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h ext/IO/poll.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/MIME/Base64/Base64.c)): ext/MIME/Base64/Base64.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Storable/Storable.c)): ext/Storable/Storable.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Sys/Hostname/Hostname.c)): ext/Sys/Hostname/Hostname.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Time/HiRes/HiRes.c)): ext/Time/HiRes/HiRes.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h ext/Time/HiRes/const-c.inc form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/attrs/attrs.c)): ext/attrs/attrs.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/re/re_comp.c)): ext/re/re_comp.c regcomp.c $(addsuffix $(OBJ), $(basename ext/re/re_exec.c)): ext/re/re_exec.c invlist_inline.h $(addsuffix $(OBJ), $(basename globals.c)): globals.c INTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename gv.c)): gv.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h overload.inc pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename hv.c)): hv.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename iperlsys.h)): iperlsys.h perlio.h $(addsuffix $(OBJ), $(basename locale.c)): locale.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename mg.c)): mg.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename non-core-ext/HTML/Parser/Parser.c)): non-core-ext/HTML/Parser/Parser.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h non-core-ext/HTML/Parser/hctype.h non-core-ext/HTML/Parser/hparser.c non-core-ext/HTML/Parser/hparser.h non-core-ext/HTML/Parser/parser-util.c non-core-ext/HTML/Parser/tokenpos.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename non-core-ext/HTML/Parser/hparser.c)): non-core-ext/HTML/Parser/hparser.c hctype.h tokenpos.h $(addsuffix $(OBJ), $(basename numeric.c)): numeric.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename op.c)): op.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h keywords.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pad.c)): pad.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename perl.c)): perl.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename perlapi.c)): perlapi.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename perlhost.h)): perlhost.h iperlsys.h perlio.h vdir.h vmem.h $(addsuffix $(OBJ), $(basename perlio.c)): perlio.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perliol.h perlsdio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename perlmain.c)): perlmain.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename perly.c)): perly.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pp.c)): pp.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h keywords.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h reentr.h regexp.h scope.h spec_config.h specrand/specrand.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pp_ctl.c)): pp_ctl.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pp_hot.c)): pp_hot.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pp_pack.c)): pp_pack.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h packsizetables.inc pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pp_sort.c)): pp_sort.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h specrand/specrand.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pp_sys.c)): pp_sys.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h reentr.h regexp.h scope.h spec_config.h sv.h thread.h time64.c utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename proto.h)): proto.h pp_proto.h $(addsuffix $(OBJ), $(basename reentr.c)): reentr.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h reentr.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename regcomp.c)): regcomp.c EXTERN.h INTERN.h av.h config.h cop.h cv.h dquote.c embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h invlist_inline.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regcharclass.h regcomp.h regexp.h regnodes.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename regexec.c)): regexec.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h invlist_inline.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regcharclass.h regcomp.h regexp.h regnodes.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename run.c)): run.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename scope.c)): scope.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename sv.c)): sv.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regcharclass.h regcomp.h regexp.h regnodes.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename taint.c)): taint.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename toke.c)): toke.c EXTERN.h av.h config.h cop.h cv.h dquote.c embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h keywords.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename universal.c)): universal.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perliol.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h vxs.inc warnings.h $(addsuffix $(OBJ), $(basename utf8.c)): utf8.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h invlist_inline.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename util.c)): util.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h specrand/specrand.h sv.h thread.h utf8.h util.h uudmap.h vutil.c warnings.h $(addsuffix $(OBJ), $(basename win32/perllib.c)): win32/perllib.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename win32/win32.c)): win32/win32.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h win32/win32iop.h $(addsuffix $(OBJ), $(basename win32/win32io.c)): win32/win32io.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perliol.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename win32/win32sck.c)): win32/win32sck.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h win32/win32iop.h $(addsuffix $(OBJ), $(basename win32/win32thread.c)): win32/win32thread.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h win32/win32.h win32/win32iop.h $(addsuffix $(OBJ), $(basename xsutils.c)): xsutils.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=600 NAME=perlbench_s SOURCES= av.c caretx.c deb.c doio.c doop.c dump.c globals.c gv.c hv.c \ keywords.c locale.c mg.c numeric.c op.c pad.c perl.c perlapi.c perlio.c \ perlmain.c perly.c pp.c pp_ctl.c pp_hot.c pp_pack.c pp_sort.c pp_sys.c \ regcomp.c regexec.c run.c scope.c sv.c taint.c toke.c universal.c utf8.c \ util.c reentr.c mro_core.c mathoms.c specrand/specrand.c \ dist/PathTools/Cwd.c dist/Data-Dumper/Dumper.c ext/Devel-Peek/Peek.c \ cpan/Digest-MD5/MD5.c cpan/Digest-SHA/SHA.c DynaLoader.c dist/IO/IO.c \ dist/IO/poll.c cpan/MIME-Base64/Base64.c Opcode.c \ dist/Storable/Storable.c ext/Sys-Hostname/Hostname.c \ cpan/Time-HiRes/HiRes.c ext/XS-Typemap/stdio.c \ ext/attributes/attributes.c cpan/HTML-Parser/Parser.c ext/mro/mro.c \ ext/re/re.c ext/re/re_comp.c ext/re/re_exec.c ext/arybase/arybase.c \ ext/PerlIO-scalar/scalar.c ext/PerlIO-via/via.c ext/File-Glob/bsd_glob.c \ ext/File-Glob/Glob.c ext/Hash-Util/Util.c \ ext/Hash-Util-FieldHash/FieldHash.c \ ext/Tie-Hash-NamedCapture/NamedCapture.c \ cpan/Scalar-List-Utils/ListUtil.c EXEBASE=perlbench_s NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -O2 -mtune=generic OS = unix PORTABILITY = -DSPEC_LINUX_X64 SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = perlbench_s basepeak = 0 benchdir = benchspec benchmark = 600.perlbench_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = no changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = perlbench_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = yes force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 lognum = 042.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = perlbench_s nansupport = no need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:38:58 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4701.205 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 600 obiwan = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 --lognum 042.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/500.perlbench_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.906000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = diffmail.2.550.15.24.23.100.out perfect.b.3.out scrabbl.out splitmail.535.13.25.24.1091.1.out suns.out validate Compile for '600.perlbench_s' started at: 2021-07-08 14:39:00 (1625769540) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 14:39:00 (1625769540.98911) rm -rf *.o diffmail.2.550.15.24.23.100.out perfect.b.3.out scrabbl.out splitmail.535.13.25.24.1091.1.out suns.out validate find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf perlbench_s rm -rf perlbench_s.exe rm -rf core Stop make.clean command: 2021-07-08 14:39:01 (1625769541.15158) Elapsed time for make.clean command: 00:00:00 (0.162466049194336) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 14:39:01 (1625769541.15446) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o av.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 av.c In file included from av.c:24: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o caretx.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 caretx.c In file included from caretx.c:32: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o deb.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 deb.c In file included from deb.c:25: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o doio.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 doio.c In file included from doio.c:27: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o doop.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 doop.c In file included from doop.c:24: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dump.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dump.c In file included from dump.c:29: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o globals.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 globals.c In file included from globals.c:32: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gv.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gv.c In file included from gv.c:36: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o hv.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 hv.c In file included from hv.c:35: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o keywords.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 keywords.c In file included from keywords.c:9: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o locale.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 locale.c In file included from locale.c:37: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mg.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mg.c In file included from mg.c:43: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o numeric.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 numeric.c In file included from numeric.c:30: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o op.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 op.c In file included from op.c:103: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pad.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pad.c In file included from pad.c:147: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o perl.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 perl.c In file included from perl.c:33: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o perlapi.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 perlapi.c In file included from perlapi.c:28: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o perlio.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 perlio.c In file included from perlio.c:43: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o perlmain.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 perlmain.c In file included from perlmain.c:43: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o perly.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 perly.c In file included from perly.c:26: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pp.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pp.c In file included from pp.c:28: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pp_ctl.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pp_ctl.c In file included from pp_ctl.c:35: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pp_hot.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pp_hot.c In file included from pp_hot.c:36: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pp_pack.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pp_pack.c In file included from pp_pack.c:33: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pp_sort.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pp_sort.c In file included from pp_sort.c:31: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pp_sys.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pp_sys.c In file included from pp_sys.c:31: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regcomp.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regcomp.c In file included from regcomp.c:75: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regexec.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regexec.c In file included from regexec.c:78: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o run.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 run.c In file included from run.c:26: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o scope.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 scope.c In file included from scope.c:27: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sv.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sv.c In file included from sv.c:32: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o taint.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 taint.c In file included from taint.c:24: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o toke.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 toke.c In file included from toke.c:40: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o universal.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 universal.c In file included from universal.c:30: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o utf8.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 utf8.c In file included from utf8.c:33: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o util.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 util.c In file included from util.c:30: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reentr.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 reentr.c In file included from reentr.c:33: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mro_core.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mro_core.c In file included from mro_core.c:30: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mathoms.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mathoms.c In file included from mathoms.c:46: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o specrand/specrand.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 specrand/specrand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dist/PathTools/Cwd.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dist/PathTools/Cwd.c In file included from dist/PathTools/Cwd.c:21: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dist/Data-Dumper/Dumper.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dist/Data-Dumper/Dumper.c In file included from dist/Data-Dumper/Dumper.c:16: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/Devel-Peek/Peek.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/Devel-Peek/Peek.c In file included from ext/Devel-Peek/Peek.c:16: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpan/Digest-MD5/MD5.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpan/Digest-MD5/MD5.c In file included from cpan/Digest-MD5/MD5.c:52: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpan/Digest-SHA/SHA.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpan/Digest-SHA/SHA.c In file included from cpan/Digest-SHA/SHA.c:16: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o DynaLoader.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 DynaLoader.c In file included from DynaLoader.c:16: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dist/IO/IO.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dist/IO/IO.c In file included from dist/IO/IO.c:25: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dist/IO/poll.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dist/IO/poll.c In file included from dist/IO/poll.c:14: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpan/MIME-Base64/Base64.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpan/MIME-Base64/Base64.c In file included from cpan/MIME-Base64/Base64.c:42: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Opcode.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 Opcode.c In file included from Opcode.c:15: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dist/Storable/Storable.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dist/Storable/Storable.c In file included from dist/Storable/Storable.c:26: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/Sys-Hostname/Hostname.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/Sys-Hostname/Hostname.c In file included from ext/Sys-Hostname/Hostname.c:13: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpan/Time-HiRes/HiRes.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpan/Time-HiRes/HiRes.c In file included from cpan/Time-HiRes/HiRes.c:31: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/XS-Typemap/stdio.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/XS-Typemap/stdio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/attributes/attributes.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/attributes/attributes.c In file included from ext/attributes/attributes.c:30: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpan/HTML-Parser/Parser.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpan/HTML-Parser/Parser.c In file included from cpan/HTML-Parser/Parser.c:35: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/mro/mro.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/mro/mro.c In file included from ext/mro/mro.c:13: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/re/re.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/re/re.c In file included from ext/re/re.c:16: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/re/re_comp.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/re/re_comp.c In file included from ext/re/../../regcomp.c:75, from ext/re/re_comp.c:26: ext/re/../../perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/re/re_exec.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/re/re_exec.c In file included from ext/re/re_exec.c:78: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/arybase/arybase.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/arybase/arybase.c In file included from ext/arybase/arybase.c:17: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/PerlIO-scalar/scalar.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/PerlIO-scalar/scalar.c In file included from ext/PerlIO-scalar/scalar.c:16: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/PerlIO-via/via.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/PerlIO-via/via.c In file included from ext/PerlIO-via/via.c:15: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/File-Glob/bsd_glob.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/File-Glob/bsd_glob.c In file included from ext/File-Glob/bsd_glob.c:69: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/File-Glob/Glob.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/File-Glob/Glob.c In file included from ext/File-Glob/Glob.c:17: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/Hash-Util/Util.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/Hash-Util/Util.c In file included from ext/Hash-Util/Util.c:13: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/Hash-Util-FieldHash/FieldHash.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/Hash-Util-FieldHash/FieldHash.c In file included from ext/Hash-Util-FieldHash/FieldHash.c:13: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/Tie-Hash-NamedCapture/NamedCapture.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/Tie-Hash-NamedCapture/NamedCapture.c In file included from ext/Tie-Hash-NamedCapture/NamedCapture.c:15: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpan/Scalar-List-Utils/ListUtil.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpan/Scalar-List-Utils/ListUtil.c In file included from cpan/Scalar-List-Utils/ListUtil.c:21: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing av.o caretx.o deb.o doio.o doop.o dump.o globals.o gv.o hv.o keywords.o locale.o mg.o numeric.o op.o pad.o perl.o perlapi.o perlio.o perlmain.o perly.o pp.o pp_ctl.o pp_hot.o pp_pack.o pp_sort.o pp_sys.o regcomp.o regexec.o run.o scope.o sv.o taint.o toke.o universal.o utf8.o util.o reentr.o mro_core.o mathoms.o specrand/specrand.o dist/PathTools/Cwd.o dist/Data-Dumper/Dumper.o ext/Devel-Peek/Peek.o cpan/Digest-MD5/MD5.o cpan/Digest-SHA/SHA.o DynaLoader.o dist/IO/IO.o dist/IO/poll.o cpan/MIME-Base64/Base64.o Opcode.o dist/Storable/Storable.o ext/Sys-Hostname/Hostname.o cpan/Time-HiRes/HiRes.o ext/XS-Typemap/stdio.o ext/attributes/attributes.o cpan/HTML-Parser/Parser.o ext/mro/mro.o ext/re/re.o ext/re/re_comp.o ext/re/re_exec.o ext/arybase/arybase.o ext/PerlIO-scalar/scalar.o ext/PerlIO-via/via.o ext/File-Glob/bsd _glob.o ext/File-Glob/Glob.o ext/Hash-Util/Util.o ext/Hash-Util-FieldHash/FieldHash.o ext/Tie-Hash-NamedCapture/NamedCapture.o cpan/Scalar-List-Utils/ListUtil.o -lm -o perlbench_s Stop make command: 2021-07-08 14:39:37 (1625769577.45371) Elapsed time for make command: 00:00:36 (36.2992458343506) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 14:39:37 (1625769577.45533) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX_X64" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_LINUX_X64" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options command: 2021-07-08 14:39:37 (1625769577.61781) Elapsed time for options command: 00:00:00 (0.162487030029297) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 14:39:37 (1625769577.61976) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 14:39:37 (1625769577.8054) Elapsed time for compiler-version command: 00:00:00 (0.185644865036011) Compile for '600.perlbench_s' ended at: 2021-07-08 14:39:37 (1625769577) Elapsed compile for '600.perlbench_s': 00:00:37 (37) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX_X64" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_LINUX_X64" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: fd38c396a4a7affa05246161a112458c028d4c33ae2ed05cc0de53d2338d17dc) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: f8830c1acbde267f0b346be97bce7a8a4a8a00696046ee7417095f6bad7d4749) Building 602.gcc_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:39:38] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename alias.c)): alias.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h defaults.h df.h double-int.h emit-rtl.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-alias.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h ipa-type-escape.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h s bitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename alloc-pool.c)): alloc-pool.c alloc-pool.h auto-host.h config.h filenames.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/safe-ctype.h system.h $(addsuffix $(OBJ), $(basename alloca.c)): alloca.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename argv.c)): argv.c auto-host.h config.h include/ansidecl.h include/libiberty.h include/safe-ctype.h $(addsuffix $(OBJ), $(basename attribs.c)): attribs.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h double-int.h filenames.h fixed-value.h flags.h gcc-plugin.h genrtl.h ggc.h gtype-desc.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h plugin.def plugin.h real.h reg-notes.def rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename auto-inc-dec.c)): auto-inc-dec.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm. h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename bb-reorder.c)): bb-reorder.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-pr eds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename bid2dpd_dpd2bid.c)): bid2dpd_dpd2bid.c bid-dpd.h bid2dpd_dpd2bid.h $(addsuffix $(OBJ), $(basename bitmap.c)): bitmap.c alias.h auto-host.h bitmap.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h genrtl.h ggc.h gt-bitmap.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h vec.h $(addsuffix $(OBJ), $(basename bt-load.c)): bt-load.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm -preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename builtins.c)): builtins.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h r ecog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-mudflap.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def typeclass.h value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-aux-info.c)): c-aux-info.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-tree.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-common.c)): c-common.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtin-attrs.def builtin-types.def builtins.def bversion.h c-common.def c-common.h c-pragma.h c-tree.h cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-c-common.h gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target-def.h target.h targhooks.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-inline.h tree-iterator.h tree-mudflap.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-convert.c)): c-convert.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-tree.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h convert.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-cppbuiltin.c)): c-cppbuiltin.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-pragma.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h debug.h defaults.h double-int.h except.h filenames.h flags.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h real.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h vecprim.h version.h $(addsuffix $(OBJ), $(basename c-decl.c)): c-decl.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-lang.h c-pragma.h c-tree.h cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-c-decl.h gtype-desc.h hard-reg-set.h highlev-plugin-common.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h langhooks-def.h langhooks.h libfuncs.h line-map.h machmode.h mini-gm p.h mode-classes.def omp-builtins.def options.h opts.h output.h plugin.def plugin.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-inline.h tree-iterator.h tree-mudflap.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-dump.c)): c-dump.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-tree.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-pass.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-errors.c)): c-errors.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-tree.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-format.c)): c-format.c alias.h all-tree.def alloc-pool.h auto-host.h builtins.def bversion.h c-common.def c-common.h c-format.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h intl.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-gimplify.c)): c-gimplify.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-pretty-print.h c-tree.h cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def r tl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-lang.c)): c-lang.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-objc-common.h c-pragma.h c-tree.h cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-c.h gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree-inl ine.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-lex.c)): c-lex.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-pragma.h c-tree.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h genrtl.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pretty-print.h real.h reg-notes.def rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-objc-common.c)): c-objc-common.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-objc-common.h c-pretty-print.h c-tree.h cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h intl.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree-inline.h tree-mudflap.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-omp.c)): c-omp.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-opts.c)): c-opts.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-pragma.h c-tree.h cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cppdefault.h cpplib.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h incpath.h input.h insn-constants.h insn-flags.h insn-modes.h intl.h langhooks.h line-map.h machmode.h mini-gmp.h mkdeps.h mode-classes.def omp-builtins.def options.h opts.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system .h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-inline.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-parser.c)): c-parser.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-pragma.h c-tree.h cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h except.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h genrtl.h ggc.h gt-c-parser.h gtype-desc.h hard-reg-set.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h plugin.def plugin.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def r tl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-pch.c)): c-pch.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-pragma.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h debug.h defaults.h double-int.h filenames.h flags.h ggc.h gtype-desc.h hosthooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h output.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h version.h $(addsuffix $(OBJ), $(basename c-ppoutput.c)): c-ppoutput.c alias.h all-tree.def auto-host.h builtins.def c-common.def c-common.h c-pragma.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpp-id-data.h cpplib.h defaults.h double-int.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h internal.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-pragma.c)): c-pragma.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-pragma.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h genrtl.h ggc.h gt-c-pragma.h gtype-desc.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h output.h plugin.def plugin.h pretty-print.h real.h reg-notes.def rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tr ee.def tree.h treestruct.def vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-pretty-print.c)): c-pretty-print.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-pretty-print.h c-tree.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h real.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree-iterator.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-semantics.c)): c-semantics.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tre e-check.h tree-inline.h tree-iterator.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-typeck.c)): c-typeck.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-lang.h c-tree.h cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-iterator.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename caller-save.c)): caller-save.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-caller-save.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar .h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename calls.c)): calls.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h debug.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def regs.h r tl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cc1-checksum.c)): cc1-checksum.c auto-host.h config.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h system.h $(addsuffix $(OBJ), $(basename cfg.c)): cfg.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.d ef timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfganal.c)): cfganal.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgbuild.c)): cfgbuild.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgcleanup.c)): cfgcleanup.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h dbgcnt.def dbgcnt.h dce.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h t arget.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgexpand.c)): cfgexpand.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h ssaexpand.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfghooks.c)): cfghooks.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flo w.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfglayout.c)): cfglayout.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-cfglayout.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def va rray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgloop.c)): cfgloop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tre e-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgloopanal.c)): cfgloopanal.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h graphds.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgloopmanip.c)): cfgloopmanip.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa- alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgrtl.c)): cfgrtl.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cgraph.c)): cgraph.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-cgraph.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics .h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cgraphbuild.c)): cgraphbuild.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h intl.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruc t.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cgraphunit.c)): cgraphunit.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-cgraphunit.h gtype-desc.h hard-reg-set.h highlev-plugin-common.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-prop.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h param s.def params.h plugin.def plugin.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename combine-stack-adj.c)): combine-stack-adj.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h t oplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename combine.c)): combine.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h rtlhooks-def.h sbitmap.h statistics.h symtab.h sync-bui ltins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename concat.c)): concat.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename convert.c)): convert.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h convert.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h real.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename coverage.c)): coverage.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.c gcov-io.h gcov-iov.h genrtl.h ggc.h gt-coverage.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-iterator.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cp-demangle.c)): cp-demangle.c auto-host.h config.h cp-demangle.h include/ansidecl.h include/demangle.h include/libiberty.h $(addsuffix $(OBJ), $(basename cp-demint.c)): cp-demint.c auto-host.h config.h cp-demangle.h include/ansidecl.h include/demangle.h include/libiberty.h $(addsuffix $(OBJ), $(basename cplus-dem.c)): cplus-dem.c auto-host.h config.h include/ansidecl.h include/demangle.h include/libiberty.h include/safe-ctype.h $(addsuffix $(OBJ), $(basename cpp_charset.c)): cpp_charset.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h ucnid.h $(addsuffix $(OBJ), $(basename cpp_directives-only.c)): cpp_directives-only.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cpp_directives.c)): cpp_directives.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h mkdeps.h symtab.h $(addsuffix $(OBJ), $(basename cpp_errors.c)): cpp_errors.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cpp_expr.c)): cpp_expr.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cpp_files.c)): cpp_files.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h md5.h mkdeps.h symtab.h $(addsuffix $(OBJ), $(basename cpp_identifiers.c)): cpp_identifiers.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cpp_init.c)): cpp_init.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h localedir.h mkdeps.h symtab.h $(addsuffix $(OBJ), $(basename cpp_lex.c)): cpp_lex.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cpp_line-map.c)): cpp_line-map.c cpp_config.h cpp_system.h filenames.h include/ansidecl.h include/libiberty.h include/safe-ctype.h line-map.h $(addsuffix $(OBJ), $(basename cpp_macro.c)): cpp_macro.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cpp_mkdeps.c)): cpp_mkdeps.c cpp_config.h cpp_system.h filenames.h include/ansidecl.h include/libiberty.h include/safe-ctype.h mkdeps.h $(addsuffix $(OBJ), $(basename cpp_pch.c)): cpp_pch.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h mkdeps.h symtab.h $(addsuffix $(OBJ), $(basename cpp_symtab.c)): cpp_symtab.c cpp_config.h cpp_system.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h symtab.h $(addsuffix $(OBJ), $(basename cpp_traditional.c)): cpp_traditional.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cppdefault.c)): cppdefault.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cppdefault.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h system.h tm.h $(addsuffix $(OBJ), $(basename crc32.c)): crc32.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename cse.c)): cse.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h rtlhooks-def.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cselib.c)): cselib.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h defaults.h double-int.h emit-rtl.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-cselib.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-pred s.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename dbgcnt.c)): dbgcnt.c alias.h auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h double-int.h errors.h filenames.h fixed-value.h genrtl.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h output.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h vec.h $(addsuffix $(OBJ), $(basename dbxout.c)): dbxout.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbxout.h debug.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gstab.h gt-dbxout.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h stab.def statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h t m_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename dce.c)): dce.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h dbgcnt.def dbgcnt.h dce.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray. h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ddg.c)): ddg.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h ddg.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar .h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename debug.c)): debug.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h system.h tm.h $(addsuffix $(OBJ), $(basename decContext.c)): decContext.c auto-host.h config.h dconfig.h decCommonSymbols.h decContext.h decContextSymbols.h decDPDSymbols.h decNumberLocal.h gstdint.h include/ansidecl.h $(addsuffix $(OBJ), $(basename decNumber.c)): decNumber.c auto-host.h config.h dconfig.h decCommonSymbols.h decContext.h decContextSymbols.h decDPDSymbols.h decNumber.h decNumberLocal.h decNumberSymbols.h gstdint.h include/ansidecl.h $(addsuffix $(OBJ), $(basename decimal128.c)): decimal128.c auto-host.h bid-dpd.h config.h dconfig.h decCommonSymbols.h decContext.h decContextSymbols.h decDPDSymbols.h decNumber.h decNumberLocal.h decNumberSymbols.h decimal128.h decimal128Local.h decimal128Symbols.h gstdint.h include/ansidecl.h $(addsuffix $(OBJ), $(basename decimal32.c)): decimal32.c auto-host.h bid-dpd.h config.h dconfig.h decCommonSymbols.h decContext.h decContextSymbols.h decDPDSymbols.h decNumber.h decNumberLocal.h decNumberSymbols.h decimal32.h decimal32Symbols.h gstdint.h include/ansidecl.h $(addsuffix $(OBJ), $(basename decimal64.c)): decimal64.c auto-host.h bid-dpd.h config.h dconfig.h decCommonSymbols.h decContext.h decContextSymbols.h decDPD.h decDPDSymbols.h decNumber.h decNumberLocal.h decNumberSymbols.h decimal64.h decimal64Symbols.h gstdint.h include/ansidecl.h $(addsuffix $(OBJ), $(basename df-byte-scan.c)): df-byte-scan.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h filenames.h fixed-value.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename df-core.c)): df-core.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h tree.def tree.h treestr uct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename df-problems.c)): df-problems.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dce.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def v array.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename df-scan.c)): df-scan.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target-def.h target.h targhooks.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h t ree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename dfp.c)): dfp.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h decContext.h decContextSymbols.h decNumber.h decNumberSymbols.h decimal128.h decimal128Local.h decimal128Symbols.h decimal32.h decimal32Symbols.h decimal64.h decimal64Symbols.h defaults.h dfp.h double-int.h filenames.h gstdint.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h real.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename diagnostic.c)): diagnostic.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h gcc-plugin.h highlev-plugin-common.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h intl.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h plugin.def plugin.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h version.h $(addsuffix $(OBJ), $(basename dojump.c)): dojump.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-dojump.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vec prim.h $(addsuffix $(OBJ), $(basename dominance.c)): dominance.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h et-forest.h filenames.h fixed-value.h function.h genrtl.h graphds.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename domwalk.c)): domwalk.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h domwalk.h double-int.h filenames.h function.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename double-int.c)): double-int.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename dse.c)): dse.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h dse.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h t ree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename dwarf2asm.c)): dwarf2asm.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h dwarf2asm.h filenames.h fixed-value.h flags.h genrtl.h ggc.h gt-dwarf2asm.h gtype-desc.h hwint.h include/ansidecl.h include/dwarf2.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h real.h reg-notes.def rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename dwarf2out.c)): dwarf2out.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h dwarf2asm.h dwarf2out.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-dwarf2out.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/dwarf2.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h libfuncs.h line-map.h machmode.h md5.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h version.h $(addsuffix $(OBJ), $(basename dyn-string.c)): dyn-string.c auto-host.h config.h include/ansidecl.h include/dyn-string.h include/libiberty.h $(addsuffix $(OBJ), $(basename ebitmap.c)): ebitmap.c alias.h auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h ebitmap.h filenames.h fixed-value.h flags.h genrtl.h hwint.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h system.h tm.h vec.h $(addsuffix $(OBJ), $(basename emit-rtl.c)): emit-rtl.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-emit-rtl.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.d ef timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename et-forest.c)): et-forest.c alloc-pool.h auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h et-forest.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h system.h tm.h $(addsuffix $(OBJ), $(basename except.c)): except.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h dwarf2asm.h dwarf2out.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-except.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/dwarf2.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h intl.h ipa-reference.h langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename explow.c)): explow.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-explow.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h vecprim.h $(addsuffix $(OBJ), $(basename expmed.c)): expmed.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h predict.def predict.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree- check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename expr.c)): expr.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-expr.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer- set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h ssaexpand.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def typeclass.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename fdmatch.c)): fdmatch.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename fibheap.c)): fibheap.c auto-host.h config.h include/ansidecl.h include/fibheap.h include/libiberty.h $(addsuffix $(OBJ), $(basename filename_cmp.c)): filename_cmp.c auto-host.h config.h filenames.h include/ansidecl.h include/safe-ctype.h $(addsuffix $(OBJ), $(basename final.c)): final.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def conditions.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h dbxout.h debug.h defaults.h df.h double-int.h dwarf2out.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h ou tput.h params.def params.h pointer-set.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename fixed-value.c)): fixed-value.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h real.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename floatformat.c)): floatformat.c auto-host.h config.h include/ansidecl.h include/floatformat.h include/libiberty.h $(addsuffix $(OBJ), $(basename fold-const.c)): fold-const.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h langhooks.h line-map.h machmode.h md5.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p .h toplev.h tree-check.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename fopen_unlocked.c)): fopen_unlocked.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename function.c)): function.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-function.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h real.h recog.h reg-notes.def regs .h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename fwprop.c)): fwprop.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h domwalk.h double-int.h emit-rtl.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree -pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gcse.c)): gcse.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-gcse.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def syste m.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename genrtl.c)): genrtl.c alias.h auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h genrtl.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h vec.h $(addsuffix $(OBJ), $(basename getopt.c)): getopt.c auto-host.h config.h include/ansidecl.h include/getopt.h $(addsuffix $(OBJ), $(basename getopt1.c)): getopt1.c auto-host.h config.h include/ansidecl.h include/getopt.h $(addsuffix $(OBJ), $(basename getpwd.c)): getpwd.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename getruntime.c)): getruntime.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename ggc-common.c)): ggc-common.c auto-host.h bversion.h config.h coretypes.h filenames.h gcc-plugin.h ggc.h gtype-desc.h highlev-plugin-common.h hooks.h hosthooks-def.h hosthooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/safe-ctype.h input.h insn-modes.h line-map.h machmode.h mode-classes.def params.def params.h plugin.def plugin.h statistics.h system.h toplev.h vec.h $(addsuffix $(OBJ), $(basename ggc-page.c)): ggc-page.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h plugin.def plugin.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h s ymtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gimple-iterator.c)): gimple-iterator.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gimple-low.c)): gimple-low.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h sta tistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gimple-pretty-print.c)): gimple-pretty-print.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias. h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gimple.c)): gimple.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gt-gimple.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/demangle.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias .h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gimplify.c)): gimplify.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-gimplify.h gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h p retty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graph.c)): graph.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h graph.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphds.c)): graphds.c auto-host.h bitmap.h config.h coretypes.h filenames.h graphds.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h statistics.h system.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-blocking.c)): graphite-blocking.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def r tl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-clast-to-gimple.c)): graphite-clast-to-gimple.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes. def rtl.def rtl.h sbitmap.h sese.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-dependences.c)): graphite-dependences.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl. def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-interchange.c)): graphite-interchange.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes .def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-poly.c)): graphite-poly.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def r tl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-ppl.c)): graphite-ppl.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h statistics.h system.h tm.h $(addsuffix $(OBJ), $(basename graphite-scop-detection.c)): graphite-scop-detection.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.de f rtl.def rtl.h sbitmap.h sese.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-sese-to-poly.c)): graphite-sese-to-poly.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rt l.def rtl.h sbitmap.h sese.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite.c)): graphite.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h sese.h statist ics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gtype-desc.c)): gtype-desc.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpp-id-data.h cpplib.h cselib.h debug.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-addr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-prop.h ipa-reference.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h tree-check.h tree-chrec.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename haifa-sched.c)): haifa-sched.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int.h statistics.h symtab.h sync-builtins.def sy stem.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename hashtab.c)): hashtab.c auto-host.h config.h include/ansidecl.h include/hashtab.h include/libiberty.h $(addsuffix $(OBJ), $(basename hex.c)): hex.c auto-host.h config.h include/ansidecl.h include/libiberty.h include/safe-ctype.h $(addsuffix $(OBJ), $(basename hooks.c)): hooks.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hooks.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h insn-modes.h machmode.h mode-classes.def options.h system.h tm.h $(addsuffix $(OBJ), $(basename host-ieee128.c)): host-ieee128.c auto-host.h bid-dpd.h config.h dconfig.h decimal128.h decimal128Local.h decimal128Symbols.h include/ansidecl.h $(addsuffix $(OBJ), $(basename host-ieee32.c)): host-ieee32.c bid-dpd.h decimal32.h decimal32Symbols.h $(addsuffix $(OBJ), $(basename host-ieee64.c)): host-ieee64.c bid-dpd.h decimal64.h decimal64Symbols.h $(addsuffix $(OBJ), $(basename host-linux.c)): host-linux.c auto-host.h config.h coretypes.h filenames.h hooks.h hosthooks-def.h hosthooks.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-modes.h machmode.h mode-classes.def system.h $(addsuffix $(OBJ), $(basename i386-c.c)): i386-c.c alias.h all-tree.def auto-host.h builtins.def c-common.def c-common.h c-pragma.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h double-int.h filenames.h fixed-value.h flags.h genrtl.h ggc.h gtype-desc.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h real.h reg-notes.def rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target-def.h target.h targhooks.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename i386.c)): i386.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def conditions.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h debug.h defaults.h df.h double-int.h dwarf2out.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-i386.h gtype-desc.h hard-reg-set.h hooks.h hwint.h i386-builtin-types.inc include/ansidecl.h include/dwarf2.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h params.def params.h pointer-set.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target-def.h target.h targhooks.h timevar.def timevar.h tm-constrs.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ifcvt.c)): ifcvt.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timeva r.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename incpath.c)): incpath.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cppdefault.h cpplib.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h incpath.h insn-constants.h insn-flags.h insn-modes.h intl.h line-map.h machmode.h mode-classes.def options.h prefix.h symtab.h system.h target.h tm.h $(addsuffix $(OBJ), $(basename init-regs.c)): init-regs.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-attrtab.c)): insn-attrtab.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h v ec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-automata.c)): insn-automata.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-emit.c)): insn-emit.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h dfp.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h resource.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h t imevar.def timevar.h tm-constrs.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-extract.c)): insn-extract.c alias.h auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h genrtl.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h recog.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h toplev.h vec.h $(addsuffix $(OBJ), $(basename insn-modes.c)): insn-modes.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h insn-modes.h machmode.h mode-classes.def options.h real.h system.h tm.h $(addsuffix $(OBJ), $(basename insn-opinit.c)): insn-opinit.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h real.h recog.h reg-notes.def reload.h rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def vec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-output.c)): insn-output.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h conditions.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-constrs.h tm- preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-peep.c)): insn-peep.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm-constrs.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vec prim.h $(addsuffix $(OBJ), $(basename insn-preds.c)): insn-preds.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h resource.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-constrs.h tm-preds.h tm.h tm_p.h toplev.h tree-chec k.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-recog.c)): insn-recog.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h resource.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-constrs.h tm-preds.h tm.h tm_p.h toplev.h tree-chec k.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename integrate.c)): integrate.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-integrate.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h intl.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync- builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename intl.c)): intl.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h intl.h options.h system.h tm.h $(addsuffix $(OBJ), $(basename ipa-cp.c)): ipa-cp.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-prop.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree -dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-inline.c)): ipa-inline.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h diagnostic.def diagnostic.h double-int.h except.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-ipa-inline.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-prop.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg- notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-prop.c)): ipa-prop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-prop.h ipa-reference.h langhooks.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-flow-i nline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-pure-const.c)): ipa-pure-const.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h ipa-utils.h langhooks.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h stat istics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-reference.c)): ipa-reference.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gt-ipa-reference.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h ipa-utils.h langhooks.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-struct-reorg.c)): ipa-struct-reorg.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h ipa-struct-reorg.h ipa-type-escape.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h params.def params.h pointer-set.h predict.def predict. h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-type-escape.c)): ipa-type-escape.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h ipa-type-escape.h ipa-utils.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-c heck.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-utils.c)): ipa-utils.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h ipa-utils.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree -flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa.c)): ipa.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira-build.c)): ira-build.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h sparseset.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira-color.c)): ira-color.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira-conflicts.c)): ira-conflicts.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sparseset.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar. h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira-costs.c)): ira-costs.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h topl ev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira-emit.c)): ira-emit.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h errors.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar. h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira-lives.c)): ira-lives.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sparseset.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds. h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira.c)): ira.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h errors.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename jump.c)): jump.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h t m-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lambda-code.c)): lambda-code.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h re al.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lambda-mat.c)): lambda-mat.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lambda-trans.c)): lambda-trans.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename langhooks.c)): langhooks.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h intl.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab. h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree-inline.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lbasename.c)): lbasename.c auto-host.h config.h filenames.h include/ansidecl.h include/libiberty.h include/safe-ctype.h $(addsuffix $(OBJ), $(basename lcm.c)): lcm.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lists.c)): lists.c alias.h auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h genrtl.h ggc.h gt-lists.h gtype-desc.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h toplev.h vec.h $(addsuffix $(OBJ), $(basename loop-doloop.c)): loop-doloop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruc t.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename loop-init.c)): loop-init.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename loop-invariant.c)): loop-invariant.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm. h tm_p.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename loop-iv.c)): loop-iv.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename loop-unroll.c)): loop-unroll.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename loop-unswitch.c)): loop-unswitch.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lower-subreg.c)): lower-subreg.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lrealpath.c)): lrealpath.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename lto-cgraph.c)): lto-cgraph.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h p retty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-opts.c)): lto-opts.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-section-in.c)): lto-section-in.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h lto-compress.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-prin t.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-section-out.c)): lto-section-out.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h lto-compress.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-streamer-in.c)): lto-streamer-in.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h ipa-utils.h libfuncs.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def pre dict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-streamer-out.c)): lto-streamer-out.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/lto-symtab.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-pri nt.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-streamer.c)): lto-streamer.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow .h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-symtab.c)): lto-symtab.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gt-lto-symtab.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h lambda.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim. h $(addsuffix $(OBJ), $(basename lto-wpa-fixup.c)): lto-wpa-fixup.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statis tics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename main.c)): main.c auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h line-map.h options.h system.h tm.h toplev.h $(addsuffix $(OBJ), $(basename make-relative-prefix.c)): make-relative-prefix.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename make-temp-file.c)): make-temp-file.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename matrix-reorg.c)): matrix-reorg.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h opts.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-n otes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-sccvn.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename mcf.c)): mcf.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h gcov-io.h gcov-iov.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h profile.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename md5.c)): md5.c auto-host.h config.h include/ansidecl.h md5.h $(addsuffix $(OBJ), $(basename mkstemps.c)): mkstemps.c auto-host.h config.h include/ansidecl.h $(addsuffix $(OBJ), $(basename mode-switching.c)): mode-switching.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h tree. def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename modulo-sched.c)): modulo-sched.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h ddg.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int. h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename objalloc.c)): objalloc.c auto-host.h config.h include/ansidecl.h include/objalloc.h $(addsuffix $(OBJ), $(basename obstack.c)): obstack.c auto-host.h config.h include/ansidecl.h include/obstack.h $(addsuffix $(OBJ), $(basename omega.c)): omega.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h $(addsuffix $(OBJ), $(basename omp-low.c)): omp-low.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-omp-low.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-n otes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename optabs.c)): optabs.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-optabs.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h predict.def predict.h real.h recog.h reg-notes.def reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev .h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename options.c)): options.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h flags.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h insn-modes.h intl.h options.h opts.h system.h target.h tm.h $(addsuffix $(OBJ), $(basename opts-common.c)): opts-common.c auto-host.h config.h coretypes.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h intl.h opts.h system.h $(addsuffix $(OBJ), $(basename opts.c)): opts.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h langhooks.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def opt ions.h opts.h output.h params.def params.h plugin.def plugin.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename params.c)): params.c auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h line-map.h options.h params.def params.h system.h tm.h toplev.h $(addsuffix $(OBJ), $(basename partition.c)): partition.c auto-host.h config.h include/ansidecl.h include/libiberty.h include/partition.h $(addsuffix $(OBJ), $(basename passes.c)): passes.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h dbxout.h debug.h defaults.h df.h diagnostic.def diagnostic.h double-int.h dwarf2asm.h dwarf2out.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h graph.h gsstruct.def gt-passes.h gtype-desc.h hard-reg-set.h highlev-plugin-common.h hosthooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h include/splay-tree.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h ins n-flags.h insn-modes.h insn-notes.def integrate.h intl.h ipa-reference.h langhooks.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h output.h params.def params.h plugin.def plugin.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename physmem.c)): physmem.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename plugin.c)): plugin.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h coretypes.h double-int.h filenames.h gcc-plugin.h ggc.h gtype-desc.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-modes.h intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h plugin.def plugin.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename pointer-set.c)): pointer-set.c auto-host.h config.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h pointer-set.h system.h $(addsuffix $(OBJ), $(basename postreload-gcse.c)): postreload-gcse.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename postreload.c)): postreload.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.d ef timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename predict.c)): predict.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sreal.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename prefix.c)): prefix.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h prefix.h system.h tm.h $(addsuffix $(OBJ), $(basename pretty-print.c)): pretty-print.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h coretypes.h double-int.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-modes.h intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename print-rtl.c)): print-rtl.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename print-tree.c)): print-tree.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-iterator.h tre e-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename profile.c)): profile.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h profile.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-bu iltins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename real.c)): real.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h dfp.h double-int.h filenames.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h real.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename recog.c)): recog.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h t m.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename reg-stack.c)): reg-stack.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h topl ev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename regcprop.c)): regcprop.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename regex.c)): regex.c auto-host.h config.h include/ansidecl.h include/xregex.h include/xregex2.h $(addsuffix $(OBJ), $(basename reginfo.c)): reginfo.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-reginfo.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def time var.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename regmove.c)): regmove.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-c heck.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename regrename.c)): regrename.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass. h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename regstat.c)): regstat.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename reload.c)): reload.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar. def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename reload1.c)): reload1.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timev ar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename reorg.c)): reorg.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h conditions.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h resource.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h ti mevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename resource.c)): resource.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h reg-notes.def regs.h resource.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree -check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename rtl-error.c)): rtl-error.c alias.h auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h genrtl.h hwint.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def options.h pretty-print.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h toplev.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename rtl.c)): rtl.c alias.h auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h genrtl.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h toplev.h vec.h $(addsuffix $(OBJ), $(basename rtlanal.c)): rtlanal.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct .def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename rtlhooks.c)): rtlhooks.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h real.h recog.h reg-notes.def rtl.def rtl.h rtlhooks-def.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def vec.h vecprim.h $(addsuffix $(OBJ), $(basename safe-ctype.c)): safe-ctype.c include/ansidecl.h include/safe-ctype.h $(addsuffix $(OBJ), $(basename sbitmap.c)): sbitmap.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sched-deps.c)): sched-deps.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def time var.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sched-ebb.c)): sched-ebb.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sched-rgn.c)): sched-rgn.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int.h sel-sched.h statistics.h symtab.h sync-builtins.def system. h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sched-vis.c)): sched-vis.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h sched-int.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sdbout.c)): sdbout.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h double-int.h filenames.h ggc.h gt-sdbout.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h $(addsuffix $(OBJ), $(basename sel-sched-dump.c)): sel-sched-dump.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int.h sel-sched-dump.h sel-sched-ir.h statistics.h symtab .h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sel-sched-ir.c)): sel-sched-ir.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h rtlhooks-def.h sbitmap.h sched-int.h sel-sched-dump.h sel-sched-ir .h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sel-sched.c)): sel-sched.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h rtlhooks-def.h sbitmap.h sched-int.h sel-sc hed-dump.h sel-sched-ir.h sel-sched.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sese.c)): sese.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h sese.h stati stics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sha1.c)): sha1.c auto-host.h config.h include/ansidecl.h include/sha1.h $(addsuffix $(OBJ), $(basename simplify-rtx.c)): simplify-rtx.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h tre estruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sort.c)): sort.c auto-host.h config.h include/ansidecl.h include/libiberty.h include/sort.h $(addsuffix $(OBJ), $(basename spaces.c)): spaces.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename sparseset.c)): sparseset.c auto-host.h config.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h sparseset.h system.h $(addsuffix $(OBJ), $(basename spec_qsort/spec_qsort.c)): spec_qsort/spec_qsort.c spec_qsort/spec_qsort.h $(addsuffix $(OBJ), $(basename splay-tree.c)): splay-tree.c auto-host.h config.h include/ansidecl.h include/libiberty.h include/splay-tree.h $(addsuffix $(OBJ), $(basename sreal.c)): sreal.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h sreal.h system.h tm.h $(addsuffix $(OBJ), $(basename stack-ptr-mod.c)): stack-ptr-mod.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename statistics.c)): statistics.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-pass.h tree.def tree.h treestruct.def vec.h vecprim.h $(addsuffix $(OBJ), $(basename stmt.c)): stmt.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistic s.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename stor-layout.c)): stor-layout.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-stor-layout.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbi tmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-inline.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename store-motion.c)): store-motion.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar .h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename strerror.c)): strerror.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename stringpool.c)): stringpool.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h double-int.h filenames.h ggc.h gt-stringpool.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename strsignal.c)): strsignal.c include/ansidecl.h include/libiberty.h libib_config.h $(addsuffix $(OBJ), $(basename stub-objc.c)): stub-objc.c alias.h all-tree.def auto-host.h builtins.def c-common.def c-common.h config.h coretypes.h cpplib.h double-int.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h statistics.h symtab.h sync-builtins.def system.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename targhooks.c)): targhooks.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-targhooks.h gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h real.h recog.h reg-notes.def reload.h rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target-def.h target.h targhooks.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h ve cprim.h $(addsuffix $(OBJ), $(basename timevar.c)): timevar.c alias.h auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h genrtl.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h timevar.def timevar.h tm.h toplev.h vec.h $(addsuffix $(OBJ), $(basename toplev.c)): toplev.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h dbxout.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h dwarf2asm.h dwarf2out.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h graph.h gsstruct.def gtype-desc.h hard-reg-set.h highlev-plugin-common.h hosthooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h intl.h ir a.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h output.h params.def params.h plugin.def plugin.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-mudflap.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h version.h $(addsuffix $(OBJ), $(basename tracer.c)): tracer.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h ti mevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-affine.c)): tree-affine.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-affine.h tree-check.h tree-dump.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-call-cdce.c)): tree-call-cdce.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins. def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-cfg.c)): tree-cfg.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print. h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-cfgcleanup.c)): tree-cfgcleanup.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-chrec.c)): tree-chrec.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-complex.c)): tree-complex.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check. h tree-flow-inline.h tree-flow.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-data-ref.c)): tree-data-ref.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitm ap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-dfa.c)): tree-dfa.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h convert.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg -notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-dump.c)): tree-dump.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h real.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-iterator.h tree-pass.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename tree-eh.c)): tree-eh.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tim evar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-if-conv.c)): tree-if-conv.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistic s.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-inline.c)): tree-inline.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h intl.h ipa-prop.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-n otes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-mudflap.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-into-ssa.c)): tree-into-ssa.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h prett y-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-iterator.c)): tree-iterator.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-iterator.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree-iterator.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-loop-distribution.c)): tree-loop-distribution.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predi ct.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-loop-linear.c)): tree-loop-linear.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predict.def predict.h pretty- print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-mudflap.c)): tree-mudflap.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-mudflap.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/demangle.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl. def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-mudflap.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-nested.c)): tree-nested.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-nested.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sy nc-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-nrv.c)): tree-nrv.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-bui ltins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-object-size.c)): tree-object-size.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa -alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-optimize.c)): tree-optimize.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h genrtl.h ggc.h gimple.def gimple.h graph.h gsstruct.def gtype-desc.h hard-reg-set.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h plugin .def plugin.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-mudflap.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-outof-ssa.c)): tree-outof-ssa.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h ssaexpand.h statist ics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-parloops.c)): tree-parloops.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gt-tree-parloops.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h stati stics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-phinodes.c)): tree-phinodes.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-phinodes.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-predcom.c)): tree-predcom.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-affine.h tree-check.h tree-chrec.h tree-data-ref.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-pretty-print.c)): tree-pretty-print.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-flow-inli ne.h tree-flow.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-profile.c)): tree-profile.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-profile.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-scalar-evolution.c)): tree-scalar-evolution.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-scalar-evolution.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h s bitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-sra.c)): tree-sra.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-prop.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap. h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-address.c)): tree-ssa-address.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-ssa-address.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-affine.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-alias.c)): tree-ssa-alias.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h convert.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h ipa-type-escape.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def p redict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-ccp.c)): tree-ssa-ccp.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h r eal.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-coalesce.c)): tree-ssa-coalesce.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flo w-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-copy.c)): tree-ssa-copy.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-copyrename.c)): tree-ssa-copyrename.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-in line.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-dce.c)): tree-ssa-dce.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-bui ltins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-dom.c)): tree-ssa-dom.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty- print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-dse.c)): tree-ssa-dse.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symta b.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-forwprop.c)): tree-ssa-forwprop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symta b.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-ifcombine.c)): tree-ssa-ifcombine.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tre e-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-live.c)): tree-ssa-live.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flo w-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-ch.c)): tree-ssa-loop-ch.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-im.c)): tree-ssa-loop-im.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-affine.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-ivcanon.c)): tree-ssa-loop-ivcanon.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-chrec.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-ivopts.c)): tree-ssa-loop-ivopts.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h p retty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-affine.h tree-check.h tree-chrec.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-manip.c)): tree-ssa-loop-manip.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes .def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-niter.c)): tree-ssa-loop-niter.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pr etty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-prefetch.c)): tree-ssa-loop-prefetch.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h ou tput.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-unswitch.c)): tree-ssa-loop-unswitch.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop.c)): tree-ssa-loop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-math-opts.c)): tree-ssa-math-opts.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statisti cs.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-operands.c)): tree-ssa-operands.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow. h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-phiopt.c)): tree-ssa-phiopt.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-phiprop.c)): tree-ssa-phiprop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab. h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-pre.c)): tree-ssa-pre.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.d ef rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-sccvn.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-propagate.c)): tree-ssa-propagate.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-ssa-propagate.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-prin t.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-reassoc.c)): tree-ssa-reassoc.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h s ync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-sccvn.c)): tree-ssa-sccvn.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree-ssa-sccvn.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-sink.c)): tree-ssa-sink.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics. h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-structalias.c)): tree-ssa-structalias.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-ssa-structalias.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h prett y-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-ter.c)): tree-ssa-ter.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pa ss.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-threadedge.c)): tree-ssa-threadedge.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pre tty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-threadupdate.c)): tree-ssa-threadupdate.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes .def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-uncprop.c)): tree-ssa-uncprop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-not es.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa.c)): tree-ssa.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssanames.c)): tree-ssanames.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-stdarg.c)): tree-stdarg.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-stdarg.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-switch-conversion.c)): tree-switch-conversion.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h t ree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-tailcall.c)): tree-tailcall.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h diagnostic.def diagnostic.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap .h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-data-refs.c)): tree-vect-data-refs.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-generic.c)): tree-vect-generic.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-vect-generic.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl. h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-loop-manip.c)): tree-vect-loop-manip.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict. def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-loop.c)): tree-vect-loop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predict.de f predict.h pretty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-patterns.c)): tree-vect-patterns.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predict.def pr edict.h pretty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-slp.c)): tree-vect-slp.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predict.def predict.h p retty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-stmts.c)): tree-vect-stmts.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-se t.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vectorizer.c)): tree-vectorizer.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vrp.c)): tree-vrp.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system .h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree.c)): tree.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h except.h filenames.h fixed-value.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gt-tree.h gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h intl.h ipa-reference.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h sbitmap.h statistics.h symtab .h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename unlink-if-ordinary.c)): unlink-if-ordinary.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename value-prof.c)): value-prof.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def r egs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename var-tracking.c)): var-tracking.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-n otes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename varasm.c)): varasm.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-varasm.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h targhooks.h tm-pre ds.h tm.h tm_p.h toplev.h tree-check.h tree-iterator.h tree-mudflap.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename varpool.c)): varpool.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gt-varpool.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename varray.c)): varray.c auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h line-map.h options.h statistics.h system.h tm.h toplev.h varray.h $(addsuffix $(OBJ), $(basename vec.c)): vec.c auto-host.h bversion.h config.h coretypes.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/safe-ctype.h input.h line-map.h statistics.h system.h toplev.h vec.h $(addsuffix $(OBJ), $(basename version.c)): version.c version.h $(addsuffix $(OBJ), $(basename vmsdbgout.c)): vmsdbgout.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h system.h tm.h $(addsuffix $(OBJ), $(basename web.c)): web.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename xatexit.c)): xatexit.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename xcoffout.c)): xcoffout.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h double-int.h filenames.h fixed-value.h flags.h genrtl.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h real.h reg-notes.def rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename xexit.c)): xexit.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename xmalloc.c)): xmalloc.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename xmemdup.c)): xmemdup.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename xstrdup.c)): xstrdup.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename xstrerror.c)): xstrerror.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename xstrndup.c)): xstrndup.c auto-host.h config.h include/ansidecl.h include/libiberty.h Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=602 NAME=gcc_s SOURCES= main.c cc1-checksum.c alias.c alloca.c alloc-pool.c argv.c \ attribs.c auto-inc-dec.c bb-reorder.c bid2dpd_dpd2bid.c bitmap.c \ bt-load.c c-lang.c c-errors.c c-lex.c c-pragma.c c-decl.c c-typeck.c \ c-convert.c c-aux-info.c c-common.c c-opts.c c-format.c c-semantics.c \ c-ppoutput.c c-objc-common.c c-dump.c c-parser.c c-gimplify.c \ c-pretty-print.c c-omp.c caller-save.c calls.c cfg.c cfganal.c \ cfgbuild.c cfgcleanup.c cfgexpand.c cfghooks.c cfglayout.c cfgloop.c \ cfgloopanal.c cfgloopmanip.c cfgrtl.c cgraph.c cgraphbuild.c \ cgraphunit.c combine.c combine-stack-adj.c concat.c convert.c coverage.c \ cp-demangle.c cp-demint.c cplus-dem.c cpp_directives.c cpp_lex.c \ cpp_errors.c cpp_expr.c cpp_charset.c cpp_macro.c cpp_traditional.c \ cpp_line-map.c cpp_symtab.c cpp_identifiers.c cpp_mkdeps.c cpp_pch.c \ cpp_directives-only.c crc32.c cse.c cselib.c dbxout.c dbgcnt.c dce.c \ ddg.c debug.c decContext.c decimal128.c decimal32.c decimal64.c \ decNumber.c df-byte-scan.c df-core.c df-problems.c df-scan.c dfp.c \ diagnostic.c dojump.c dominance.c domwalk.c double-int.c dse.c \ dwarf2asm.c dwarf2out.c dyn-string.c ebitmap.c emit-rtl.c et-forest.c \ except.c explow.c expmed.c expr.c fdmatch.c fibheap.c filename_cmp.c \ final.c fixed-value.c floatformat.c fold-const.c fopen_unlocked.c \ function.c fwprop.c gcse.c genrtl.c getopt1.c getopt.c getpwd.c \ getruntime.c ggc-common.c ggc-page.c gimple.c gimple-iterator.c \ gimple-low.c gimple-pretty-print.c gimplify.c graph.c graphds.c \ graphite.c graphite-blocking.c graphite-clast-to-gimple.c \ graphite-dependences.c graphite-interchange.c graphite-poly.c \ graphite-ppl.c graphite-scop-detection.c graphite-sese-to-poly.c \ gtype-desc.c haifa-sched.c hashtab.c hex.c hooks.c host-ieee128.c \ host-ieee32.c host-ieee64.c host-linux.c i386.c i386-c.c ifcvt.c \ incpath.c init-regs.c insn-attrtab.c insn-automata.c insn-emit.c \ insn-extract.c insn-modes.c insn-opinit.c insn-output.c insn-peep.c \ insn-preds.c insn-recog.c integrate.c ipa-cp.c ipa-inline.c ipa-prop.c \ ipa-pure-const.c ipa-reference.c ipa-struct-reorg.c ipa-type-escape.c \ ipa-utils.c ipa.c ira.c ira-build.c ira-costs.c ira-conflicts.c \ ira-color.c ira-emit.c ira-lives.c jump.c lambda-code.c lambda-mat.c \ lambda-trans.c langhooks.c lbasename.c lcm.c lists.c loop-doloop.c \ loop-init.c loop-invariant.c loop-iv.c loop-unroll.c loop-unswitch.c \ lower-subreg.c lrealpath.c lto-cgraph.c lto-streamer-in.c \ lto-streamer-out.c lto-section-in.c lto-section-out.c lto-symtab.c \ lto-opts.c lto-streamer.c lto-wpa-fixup.c make-relative-prefix.c \ make-temp-file.c partition.c matrix-reorg.c mcf.c md5.c mkstemps.c \ mode-switching.c modulo-sched.c objalloc.c obstack.c omega.c omp-low.c \ optabs.c options.c opts-common.c opts.c params.c passes.c physmem.c \ plugin.c pointer-set.c postreload-gcse.c postreload.c predict.c \ pretty-print.c print-rtl.c print-tree.c profile.c recog.c reg-stack.c \ regcprop.c regex.c reginfo.c regmove.c regrename.c regstat.c reload.c \ reload1.c reorg.c resource.c rtl-error.c rtl.c rtlanal.c rtlhooks.c \ safe-ctype.c sbitmap.c sched-deps.c sched-ebb.c sched-rgn.c sched-vis.c \ sdbout.c sel-sched-ir.c sel-sched-dump.c sel-sched.c sese.c sha1.c \ simplify-rtx.c sort.c spaces.c sparseset.c splay-tree.c sreal.c \ stack-ptr-mod.c statistics.c stmt.c stor-layout.c store-motion.c \ stringpool.c strsignal.c stub-objc.c targhooks.c timevar.c tracer.c \ tree-affine.c tree-call-cdce.c tree-cfg.c tree-cfgcleanup.c tree-chrec.c \ tree-complex.c tree-data-ref.c tree-dfa.c tree-dump.c tree-eh.c \ tree-if-conv.c tree-inline.c tree-into-ssa.c tree-iterator.c \ tree-loop-distribution.c tree-loop-linear.c tree-mudflap.c tree-nested.c \ tree-nrv.c tree-object-size.c tree-optimize.c tree-outof-ssa.c \ tree-parloops.c tree-phinodes.c tree-predcom.c tree-pretty-print.c \ tree-profile.c tree-scalar-evolution.c tree-sra.c \ tree-switch-conversion.c tree-ssa-address.c tree-ssa-alias.c \ tree-ssa-ccp.c tree-ssa-coalesce.c tree-ssa-copy.c tree-ssa-copyrename.c \ tree-ssa-dce.c tree-ssa-dom.c tree-ssa-dse.c tree-ssa-forwprop.c \ tree-ssa-ifcombine.c tree-ssa-live.c tree-ssa-loop-ch.c \ tree-ssa-loop-im.c tree-ssa-loop-ivcanon.c tree-ssa-loop-ivopts.c \ tree-ssa-loop-manip.c tree-ssa-loop-niter.c tree-ssa-loop-prefetch.c \ tree-ssa-loop-unswitch.c tree-ssa-loop.c tree-ssa-math-opts.c \ tree-ssa-operands.c tree-ssa-phiopt.c tree-ssa-phiprop.c tree-ssa-pre.c \ tree-ssa-propagate.c tree-ssa-reassoc.c tree-ssa-sccvn.c tree-ssa-sink.c \ tree-ssa-structalias.c tree-ssa-ter.c tree-ssa-threadedge.c \ tree-ssa-threadupdate.c tree-ssa-uncprop.c tree-ssa.c tree-ssanames.c \ tree-stdarg.c tree-tailcall.c tree-vect-generic.c tree-vect-patterns.c \ tree-vect-data-refs.c tree-vect-stmts.c tree-vect-loop.c \ tree-vect-loop-manip.c tree-vect-slp.c tree-vectorizer.c tree-vrp.c \ tree.c unlink-if-ordinary.c value-prof.c var-tracking.c varpool.c \ varasm.c varray.c vec.c vmsdbgout.c web.c xatexit.c xcoffout.c xexit.c \ xmalloc.c xmemdup.c xstrdup.c xstrerror.c xstrndup.c c-cppbuiltin.c \ c-pch.c cpp_files.c cpp_init.c cppdefault.c intl.c prefix.c strerror.c \ toplev.c vasprintf.c version.c builtins.c real.c mini-gmp.c \ spec_qsort/spec_qsort.c EXEBASE=sgcc NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = sgcc basepeak = 0 benchdir = benchspec benchmark = 602.gcc_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = sgcc_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = 1 force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 lognum = 042.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = gcc_s nansupport = no need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:38:58 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4701.205 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 602 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 --lognum 042.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/502.gcc_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = 200.opts-O3_-finline-limit_50000.s scilab.opts-O3_-finline-limit_50000.s train01.opts-O3_-finline-limit_50000.s Compile for '602.gcc_s' started at: 2021-07-08 14:39:39 (1625769579) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 14:39:39 (1625769579.05092) rm -rf *.o 200.opts-O3_-finline-limit_50000.s scilab.opts-O3_-finline-limit_50000.s train01.opts-O3_-finline-limit_50000.s find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf sgcc rm -rf sgcc.exe rm -rf core Stop make.clean command: 2021-07-08 14:39:39 (1625769579.21957) Elapsed time for make.clean command: 00:00:00 (0.168653011322021) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 14:39:39 (1625769579.22257) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o main.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 main.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cc1-checksum.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cc1-checksum.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o alias.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 alias.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o alloca.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 alloca.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o alloc-pool.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 alloc-pool.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o argv.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 argv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o attribs.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 attribs.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o auto-inc-dec.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 auto-inc-dec.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o bb-reorder.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 bb-reorder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o bid2dpd_dpd2bid.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 bid2dpd_dpd2bid.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o bitmap.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 bitmap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o bt-load.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 bt-load.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-lang.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-lang.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-errors.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-errors.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-lex.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-lex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-pragma.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-pragma.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-decl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-decl.c In file included from c-decl.c:9539: gt-c-decl.h: In function ‘gt_ggc_mx_c_binding’: gt-c-decl.h:92:7: warning: switch condition has boolean value [-Wswitch-bool] 92 | switch (TREE_CODE ((*x).decl) == LABEL_DECL) | ^~~~~~ In file included from c-decl.c:9539: gt-c-decl.h: In function ‘gt_ggc_mx_lang_tree_node’: gt-c-decl.h:146:7: warning: switch condition has boolean value [-Wswitch-bool] 146 | switch (TREE_CODE (&((*x)).generic) == IDENTIFIER_NODE) | ^~~~~~ gt-c-decl.h: In function ‘gt_pch_nx_c_binding’: gt-c-decl.h:652:7: warning: switch condition has boolean value [-Wswitch-bool] 652 | switch (TREE_CODE ((*x).decl) == LABEL_DECL) | ^~~~~~ gt-c-decl.h: In function ‘gt_pch_nx_lang_tree_node’: gt-c-decl.h:706:7: warning: switch condition has boolean value [-Wswitch-bool] 706 | switch (TREE_CODE (&((*x)).generic) == IDENTIFIER_NODE) | ^~~~~~ gt-c-decl.h: In function ‘gt_pch_p_9c_binding’: gt-c-decl.h:1214:3: warning: switch condition has boolean value [-Wswitch-bool] 1214 | switch (TREE_CODE ((*x).decl) == LABEL_DECL) | ^~~~~~ gt-c-decl.h: In function ‘gt_pch_p_14lang_tree_node’: gt-c-decl.h:1278:3: warning: switch condition has boolean value [-Wswitch-bool] 1278 | switch (TREE_CODE (&((*x)).generic) == IDENTIFIER_NODE) | ^~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-typeck.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-typeck.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-convert.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-convert.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-aux-info.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-aux-info.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-common.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-opts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-opts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-format.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-format.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-semantics.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-semantics.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-ppoutput.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-ppoutput.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-objc-common.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-objc-common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-dump.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-dump.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-parser.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-parser.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-gimplify.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-gimplify.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-pretty-print.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-pretty-print.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-omp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-omp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o caller-save.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 caller-save.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o calls.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 calls.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfganal.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfganal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgbuild.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgbuild.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgcleanup.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgcleanup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgexpand.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgexpand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfghooks.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfghooks.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfglayout.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfglayout.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgloop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgloop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgloopanal.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgloopanal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgloopmanip.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgloopmanip.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgrtl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgrtl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cgraph.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cgraph.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cgraphbuild.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cgraphbuild.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cgraphunit.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cgraphunit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o combine.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 combine.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o combine-stack-adj.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 combine-stack-adj.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o concat.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 concat.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o convert.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 convert.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o coverage.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 coverage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cp-demangle.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cp-demangle.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cp-demint.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cp-demint.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cplus-dem.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cplus-dem.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_directives.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_directives.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_lex.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_lex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_errors.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_errors.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_expr.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_expr.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_charset.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_charset.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_macro.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_macro.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_traditional.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_traditional.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_line-map.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_line-map.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_symtab.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_symtab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_identifiers.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_identifiers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_mkdeps.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_mkdeps.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_pch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_pch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_directives-only.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_directives-only.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o crc32.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 crc32.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cse.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cselib.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cselib.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dbxout.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dbxout.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dbgcnt.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dbgcnt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dce.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ddg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ddg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o debug.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 debug.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o decContext.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 decContext.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o decimal128.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 decimal128.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o decimal32.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 decimal32.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o decimal64.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 decimal64.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o decNumber.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 decNumber.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o df-byte-scan.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 df-byte-scan.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o df-core.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 df-core.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o df-problems.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 df-problems.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o df-scan.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 df-scan.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dfp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dfp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o diagnostic.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 diagnostic.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dojump.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dojump.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dominance.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dominance.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o domwalk.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 domwalk.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o double-int.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 double-int.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dse.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dwarf2asm.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dwarf2asm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dwarf2out.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dwarf2out.c In file included from dwarf2out.c:21656: gt-dwarf2out.h: In function ‘gt_ggc_mx_die_struct’: gt-dwarf2out.h:484:7: warning: switch condition has boolean value [-Wswitch-bool] 484 | switch (dwarf_version >= 4) | ^~~~~~ In file included from dwarf2out.c:21656: gt-dwarf2out.h: In function ‘gt_pch_nx_die_struct’: gt-dwarf2out.h:1047:7: warning: switch condition has boolean value [-Wswitch-bool] 1047 | switch (dwarf_version >= 4) | ^~~~~~ gt-dwarf2out.h: In function ‘gt_pch_p_10die_struct’: gt-dwarf2out.h:1673:3: warning: switch condition has boolean value [-Wswitch-bool] 1673 | switch (dwarf_version >= 4) | ^~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dyn-string.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dyn-string.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ebitmap.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ebitmap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o emit-rtl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 emit-rtl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o et-forest.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 et-forest.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o except.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 except.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o explow.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 explow.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o expmed.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 expmed.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o expr.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 expr.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o fdmatch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 fdmatch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o fibheap.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 fibheap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o filename_cmp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 filename_cmp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o final.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 final.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o fixed-value.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 fixed-value.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o floatformat.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 floatformat.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o fold-const.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 fold-const.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o fopen_unlocked.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 fopen_unlocked.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o function.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 function.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o fwprop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 fwprop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gcse.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gcse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o genrtl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 genrtl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o getopt1.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 getopt1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o getopt.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 getopt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o getpwd.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 getpwd.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o getruntime.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 getruntime.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ggc-common.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ggc-common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ggc-page.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ggc-page.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gimple.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gimple.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gimple-iterator.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gimple-iterator.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gimple-low.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gimple-low.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gimple-pretty-print.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gimple-pretty-print.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gimplify.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gimplify.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graph.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graph.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphds.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-blocking.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-blocking.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-clast-to-gimple.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-clast-to-gimple.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-dependences.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-dependences.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-interchange.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-interchange.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-poly.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-poly.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-ppl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-ppl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-scop-detection.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-scop-detection.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-sese-to-poly.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-sese-to-poly.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gtype-desc.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gtype-desc.c gtype-desc.c: In function ‘gt_ggc_mx_basic_block_def’: gtype-desc.c:1080:7: warning: switch condition has boolean value [-Wswitch-bool] 1080 | switch (((((*x)).flags & BB_RTL) != 0)) | ^~~~~~ gtype-desc.c: In function ‘gt_ggc_mx_edge_def’: gtype-desc.c:1103:7: warning: switch condition has boolean value [-Wswitch-bool] 1103 | switch (current_ir_type () == IR_GIMPLE) | ^~~~~~ gtype-desc.c: In function ‘gt_pch_nx_basic_block_def’: gtype-desc.c:3513:7: warning: switch condition has boolean value [-Wswitch-bool] 3513 | switch (((((*x)).flags & BB_RTL) != 0)) | ^~~~~~ gtype-desc.c: In function ‘gt_pch_nx_edge_def’: gtype-desc.c:3536:7: warning: switch condition has boolean value [-Wswitch-bool] 3536 | switch (current_ir_type () == IR_GIMPLE) | ^~~~~~ gtype-desc.c: In function ‘gt_pch_p_15basic_block_def’: gtype-desc.c:6077:3: warning: switch condition has boolean value [-Wswitch-bool] 6077 | switch (((((*x)).flags & BB_RTL) != 0)) | ^~~~~~ gtype-desc.c: In function ‘gt_pch_p_8edge_def’: gtype-desc.c:6103:3: warning: switch condition has boolean value [-Wswitch-bool] 6103 | switch (current_ir_type () == IR_GIMPLE) | ^~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o haifa-sched.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 haifa-sched.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o hashtab.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 hashtab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o hex.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 hex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o hooks.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 hooks.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o host-ieee128.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 host-ieee128.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o host-ieee32.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 host-ieee32.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o host-ieee64.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 host-ieee64.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o host-linux.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 host-linux.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o i386.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 i386.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o i386-c.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 i386-c.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ifcvt.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ifcvt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o incpath.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 incpath.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o init-regs.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 init-regs.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-attrtab.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-attrtab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-automata.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-automata.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-emit.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-emit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-extract.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-extract.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-modes.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-modes.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-opinit.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-opinit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-output.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-output.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-peep.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-peep.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-preds.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-preds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-recog.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-recog.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o integrate.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 integrate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-cp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-cp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-inline.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-inline.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-prop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-prop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-pure-const.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-pure-const.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-reference.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-reference.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-struct-reorg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-struct-reorg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-type-escape.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-type-escape.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-utils.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-utils.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira-build.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira-build.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira-costs.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira-costs.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira-conflicts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira-conflicts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira-color.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira-color.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira-emit.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira-emit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira-lives.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira-lives.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o jump.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 jump.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lambda-code.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lambda-code.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lambda-mat.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lambda-mat.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lambda-trans.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lambda-trans.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o langhooks.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 langhooks.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lbasename.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lbasename.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lcm.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lcm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lists.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lists.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o loop-doloop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 loop-doloop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o loop-init.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 loop-init.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o loop-invariant.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 loop-invariant.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o loop-iv.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 loop-iv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o loop-unroll.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 loop-unroll.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o loop-unswitch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 loop-unswitch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lower-subreg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lower-subreg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lrealpath.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lrealpath.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-cgraph.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-cgraph.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-streamer-in.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-streamer-in.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-streamer-out.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-streamer-out.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-section-in.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-section-in.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-section-out.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-section-out.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-symtab.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-symtab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-opts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-opts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-streamer.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-streamer.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-wpa-fixup.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-wpa-fixup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o make-relative-prefix.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 make-relative-prefix.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o make-temp-file.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 make-temp-file.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o partition.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 partition.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o matrix-reorg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 matrix-reorg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mcf.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mcf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o md5.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 md5.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mkstemps.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mkstemps.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mode-switching.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mode-switching.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o modulo-sched.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 modulo-sched.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o objalloc.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 objalloc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o obstack.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 obstack.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o omega.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 omega.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o omp-low.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 omp-low.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o optabs.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 optabs.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 options.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o opts-common.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 opts-common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o opts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 opts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o params.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 params.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o passes.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 passes.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o physmem.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 physmem.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o plugin.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 plugin.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pointer-set.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pointer-set.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o postreload-gcse.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 postreload-gcse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o postreload.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 postreload.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o predict.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 predict.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pretty-print.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pretty-print.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o print-rtl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 print-rtl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o print-tree.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 print-tree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o profile.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 profile.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o recog.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 recog.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reg-stack.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 reg-stack.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regcprop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regcprop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regex.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reginfo.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 reginfo.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regmove.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regmove.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regrename.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regrename.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regstat.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regstat.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reload.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 reload.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reload1.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 reload1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reorg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 reorg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o resource.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 resource.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o rtl-error.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 rtl-error.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o rtl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 rtl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o rtlanal.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 rtlanal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o rtlhooks.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 rtlhooks.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o safe-ctype.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 safe-ctype.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sbitmap.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sbitmap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sched-deps.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sched-deps.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sched-ebb.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sched-ebb.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sched-rgn.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sched-rgn.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sched-vis.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sched-vis.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sdbout.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sdbout.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sel-sched-ir.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sel-sched-ir.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sel-sched-dump.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sel-sched-dump.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sel-sched.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sel-sched.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sese.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sese.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sha1.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sha1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o simplify-rtx.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 simplify-rtx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sort.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sort.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spaces.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 spaces.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sparseset.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sparseset.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o splay-tree.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 splay-tree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sreal.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sreal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o stack-ptr-mod.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 stack-ptr-mod.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o statistics.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 statistics.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o stmt.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 stmt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o stor-layout.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 stor-layout.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o store-motion.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 store-motion.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o stringpool.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 stringpool.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o strsignal.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 strsignal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o stub-objc.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 stub-objc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o targhooks.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 targhooks.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o timevar.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 timevar.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tracer.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tracer.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-affine.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-affine.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-call-cdce.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-call-cdce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-cfg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-cfg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-cfgcleanup.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-cfgcleanup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-chrec.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-chrec.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-complex.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-complex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-data-ref.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-data-ref.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-dfa.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-dfa.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-dump.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-dump.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-eh.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-eh.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-if-conv.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-if-conv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-inline.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-inline.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-into-ssa.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-into-ssa.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-iterator.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-iterator.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-loop-distribution.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-loop-distribution.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-loop-linear.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-loop-linear.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-mudflap.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-mudflap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-nested.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-nested.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-nrv.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-nrv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-object-size.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-object-size.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-optimize.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-optimize.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-outof-ssa.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-outof-ssa.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-parloops.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-parloops.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-phinodes.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-phinodes.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-predcom.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-predcom.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-pretty-print.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-pretty-print.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-profile.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-profile.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-scalar-evolution.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-scalar-evolution.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-sra.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-sra.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-switch-conversion.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-switch-conversion.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-address.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-address.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-alias.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-alias.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-ccp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-ccp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-coalesce.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-coalesce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-copy.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-copy.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-copyrename.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-copyrename.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-dce.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-dce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-dom.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-dom.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-dse.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-dse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-forwprop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-forwprop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-ifcombine.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-ifcombine.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-live.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-live.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-ch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-ch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-im.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-im.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-ivcanon.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-ivcanon.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-ivopts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-ivopts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-manip.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-manip.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-niter.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-niter.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-prefetch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-prefetch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-unswitch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-unswitch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-math-opts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-math-opts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-operands.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-operands.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-phiopt.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-phiopt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-phiprop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-phiprop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-pre.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-pre.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-propagate.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-propagate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-reassoc.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-reassoc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-sccvn.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-sccvn.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-sink.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-sink.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-structalias.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-structalias.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-ter.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-ter.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-threadedge.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-threadedge.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-threadupdate.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-threadupdate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-uncprop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-uncprop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssanames.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssanames.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-stdarg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-stdarg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-tailcall.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-tailcall.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-generic.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-generic.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-patterns.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-patterns.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-data-refs.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-data-refs.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-stmts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-stmts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-loop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-loop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-loop-manip.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-loop-manip.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-slp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-slp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vectorizer.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vectorizer.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vrp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vrp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o unlink-if-ordinary.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 unlink-if-ordinary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o value-prof.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 value-prof.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o var-tracking.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 var-tracking.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o varpool.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 varpool.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o varasm.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 varasm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o varray.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 varray.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o vec.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 vec.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o vmsdbgout.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 vmsdbgout.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o web.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 web.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xatexit.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xatexit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xcoffout.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xcoffout.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xexit.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xexit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xmalloc.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xmalloc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xmemdup.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xmemdup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xstrdup.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xstrdup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xstrerror.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xstrerror.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xstrndup.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xstrndup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-cppbuiltin.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-cppbuiltin.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-pch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-pch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_files.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_files.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_init.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_init.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cppdefault.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cppdefault.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o intl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 intl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o prefix.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 prefix.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o strerror.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 strerror.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o toplev.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 toplev.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o vasprintf.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 vasprintf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o version.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 version.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o builtins.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 builtins.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o real.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 real.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mini-gmp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mini-gmp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spec_qsort/spec_qsort.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 spec_qsort/spec_qsort.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing main.o cc1-checksum.o alias.o alloca.o alloc-pool.o argv.o attribs.o auto-inc-dec.o bb-reorder.o bid2dpd_dpd2bid.o bitmap.o bt-load.o c-lang.o c-errors.o c-lex.o c-pragma.o c-decl.o c-typeck.o c-convert.o c-aux-info.o c-common.o c-opts.o c-format.o c-semantics.o c-ppoutput.o c-objc-common.o c-dump.o c-parser.o c-gimplify.o c-pretty-print.o c-omp.o caller-save.o calls.o cfg.o cfganal.o cfgbuild.o cfgcleanup.o cfgexpand.o cfghooks.o cfglayout.o cfgloop.o cfgloopanal.o cfgloopmanip.o cfgrtl.o cgraph.o cgraphbuild.o cgraphunit.o combine.o combine-stack-adj.o concat.o convert.o coverage.o cp-demangle.o cp-demint.o cplus-dem.o cpp_directives.o cpp_lex.o cpp_errors.o cpp_expr.o cpp_charset.o cpp_macro.o cpp_traditional.o cpp_line-map.o cpp_symtab.o cpp_identifiers.o cpp_mkdeps.o cpp_pch.o cpp_directives-only.o crc32.o cse.o cselib.o db xout.o dbgcnt.o dce.o ddg.o debug.o decContext.o decimal128.o decimal32.o decimal64.o decNumber.o df-byte-scan.o df-core.o df-problems.o df-scan.o dfp.o diagnostic.o dojump.o dominance.o domwalk.o double-int.o dse.o dwarf2asm.o dwarf2out.o dyn-string.o ebitmap.o emit-rtl.o et-forest.o except.o explow.o expmed.o expr.o fdmatch.o fibheap.o filename_cmp.o final.o fixed-value.o floatformat.o fold-const.o fopen_unlocked.o function.o fwprop.o gcse.o genrtl.o getopt1.o getopt.o getpwd.o getruntime.o ggc-common.o ggc-page.o gimple.o gimple-iterator.o gimple-low.o gimple-pretty-print.o gimplify.o graph.o graphds.o graphite.o graphite-blocking.o graphite-clast-to-gimple.o graphite-dependences.o graphite-interchange.o graphite-poly.o graphite-ppl.o graphite-scop-detection.o graphite-sese-to-poly.o gtype-desc.o haifa-sched.o hashtab.o hex.o hooks.o host-ieee128.o host-ieee32.o host-ieee64.o host-linux.o i386.o i386-c.o ifcvt.o incpath.o init-regs.o insn-attrtab.o insn-automata.o insn-emit.o insn-extract.o insn-modes. o insn-opinit.o insn-output.o insn-peep.o insn-preds.o insn-recog.o integrate.o ipa-cp.o ipa-inline.o ipa-prop.o ipa-pure-const.o ipa-reference.o ipa-struct-reorg.o ipa-type-escape.o ipa-utils.o ipa.o ira.o ira-build.o ira-costs.o ira-conflicts.o ira-color.o ira-emit.o ira-lives.o jump.o lambda-code.o lambda-mat.o lambda-trans.o langhooks.o lbasename.o lcm.o lists.o loop-doloop.o loop-init.o loop-invariant.o loop-iv.o loop-unroll.o loop-unswitch.o lower-subreg.o lrealpath.o lto-cgraph.o lto-streamer-in.o lto-streamer-out.o lto-section-in.o lto-section-out.o lto-symtab.o lto-opts.o lto-streamer.o lto-wpa-fixup.o make-relative-prefix.o make-temp-file.o partition.o matrix-reorg.o mcf.o md5.o mkstemps.o mode-switching.o modulo-sched.o objalloc.o obstack.o omega.o omp-low.o optabs.o options.o opts-common.o opts.o params.o passes.o physmem.o plugin.o pointer-set.o postreload-gcse.o postreload.o predict.o pretty-print.o print-rtl.o print-tree.o profile.o recog.o reg-stack.o regcprop.o regex.o reginfo.o regmove.o regrename.o regstat.o reload.o reload1.o reorg.o resource.o rtl-error.o rtl.o rtlanal.o rtlhooks.o safe-ctype.o sbitmap.o sched-deps.o sched-ebb.o sched-rgn.o sched-vis.o sdbout.o sel-sched-ir.o sel-sched-dump.o sel-sched.o sese.o sha1.o simplify-rtx.o sort.o spaces.o sparseset.o splay-tree.o sreal.o stack-ptr-mod.o statistics.o stmt.o stor-layout.o store-motion.o stringpool.o strsignal.o stub-objc.o targhooks.o timevar.o tracer.o tree-affine.o tree-call-cdce.o tree-cfg.o tree-cfgcleanup.o tree-chrec.o tree-complex.o tree-data-ref.o tree-dfa.o tree-dump.o tree-eh.o tree-if-conv.o tree-inline.o tree-into-ssa.o tree-iterator.o tree-loop-distribution.o tree-loop-linear.o tree-mudflap.o tree-nested.o tree-nrv.o tree-object-size.o tree-optimize.o tree-outof-ssa.o tree-parloops.o tree-phinodes.o tree-predcom.o tree-pretty-print.o tree-profile.o tree-scalar-evolution.o tree-sra.o tree-switch-conversion.o tree-ssa-address.o tree-ssa-alias.o tree-ssa-ccp.o tree-ssa-coalesce.o tree-ssa-copy.o tree-ssa-copyrename.o tree-ssa-dce.o tree-ssa-dom.o tree-ssa-dse.o tree-ssa-forwprop.o tree-ssa-ifcombine.o tree-ssa-live.o tree-ssa-loop-ch.o tree-ssa-loop-im.o tree-ssa-loop-ivcanon.o tree-ssa-loop-ivopts.o tree-ssa-loop-manip.o tree-ssa-loop-niter.o tree-ssa-loop-prefetch.o tree-ssa-loop-unswitch.o tree-ssa-loop.o tree-ssa-math-opts.o tree-ssa-operands.o tree-ssa-phiopt.o tree-ssa-phiprop.o tree-ssa-pre.o tree-ssa-propagate.o tree-ssa-reassoc.o tree-ssa-sccvn.o tree-ssa-sink.o tree-ssa-structalias.o tree-ssa-ter.o tree-ssa-threadedge.o tree-ssa-threadupdate.o tree-ssa-uncprop.o tree-ssa.o tree-ssanames.o tree-stdarg.o tree-tailcall.o tree-vect-generic.o tree-vect-patterns.o tree-vect-data-refs.o tree-vect-stmts.o tree-vect-loop.o tree-vect-loop-manip.o tree-vect-slp.o tree-vectorizer.o tree-vrp.o tree.o unlink-if-ordinary.o value-prof.o var-tracking.o varpool.o varasm.o varray.o vec.o vmsdbgout.o web.o xatexit.o xcoffout.o xexit.o xmalloc.o xmemdup.o xstrdup.o xstrerror.o xstrndup.o c-cppbuiltin.o c-pch.o cpp_files.o cpp_i nit.o cppdefault.o intl.o prefix.o strerror.o toplev.o vasprintf.o version.o builtins.o real.o mini-gmp.o spec_qsort/spec_qsort.o -lm -o sgcc Stop make command: 2021-07-08 14:42:26 (1625769746.39302) Elapsed time for make command: 00:02:47 (167.170455932617) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 14:42:26 (1625769746.39603) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options command: 2021-07-08 14:42:26 (1625769746.56985) Elapsed time for options command: 00:00:00 (0.173817873001099) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 14:42:26 (1625769746.57337) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 14:42:26 (1625769746.78003) Elapsed time for compiler-version command: 00:00:00 (0.206665992736816) Compile for '602.gcc_s' ended at: 2021-07-08 14:42:26 (1625769746) Elapsed compile for '602.gcc_s': 00:02:47 (167) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: f24da08724b5cb7edd9bb522212db1589d0363fe6dfb4cdf63609aff0fc59570) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: 77f9940e0f6717c2ad01bf00c4e9008e6040f95841df3c5d3dc4932f7779efe5) Building 605.mcf_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:42:27] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=605 NAME=mcf_s SOURCES= mcf.c mcfutil.c readmin.c implicit.c pstart.c output.c treeup.c \ pbla.c pflowup.c psimplex.c pbeampp.c spec_qsort/spec_qsort.c EXEBASE=mcf_s NEED_MATH=yes BENCHLANG=C BENCH_CFLAGS = -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = mcf_s basepeak = 0 benchdir = benchspec benchmark = 605.mcf_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = mcf_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 lognum = 042.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = mcf_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:38:58 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4701.205 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 605 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 --lognum 042.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/505.mcf_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = inp.out mcf.out Compile for '605.mcf_s' started at: 2021-07-08 14:42:27 (1625769747) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 14:42:27 (1625769747.6629) rm -rf *.o inp.out mcf.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf mcf_s rm -rf mcf_s.exe rm -rf core Stop make.clean command: 2021-07-08 14:42:27 (1625769747.82513) Elapsed time for make.clean command: 00:00:00 (0.162230014801025) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 14:42:27 (1625769747.82811) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mcf.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mcf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mcfutil.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mcfutil.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o readmin.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 readmin.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o implicit.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 implicit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pstart.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pstart.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o output.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 output.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o treeup.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 treeup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pbla.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pbla.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pflowup.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pflowup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o psimplex.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 psimplex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pbeampp.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pbeampp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spec_qsort/spec_qsort.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 spec_qsort/spec_qsort.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing mcf.o mcfutil.o readmin.o implicit.o pstart.o output.o treeup.o pbla.o pflowup.o psimplex.o pbeampp.o spec_qsort/spec_qsort.o -lm -o mcf_s Stop make command: 2021-07-08 14:42:28 (1625769748.63075) Elapsed time for make command: 00:00:00 (0.802644014358521) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 14:42:28 (1625769748.63375) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options command: 2021-07-08 14:42:28 (1625769748.79423) Elapsed time for options command: 00:00:00 (0.160476922988892) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 14:42:28 (1625769748.79765) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 14:42:28 (1625769748.96557) Elapsed time for compiler-version command: 00:00:00 (0.167918920516968) Compile for '605.mcf_s' ended at: 2021-07-08 14:42:28 (1625769748) Elapsed compile for '605.mcf_s': 00:00:01 (1) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: 687b44069198eb8cf17f3156aec9c8c42122d20732b1a8095c9b70cf72f382b6) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: fda1df99398c148bdf104898e714aba01662b31e865318042349d6efe44aa1e2) Building 620.omnetpp_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:42:29] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=620 NAME=omnetpp_s SOURCES= simulator/fileoutscalarmgr.cc simulator/xmlgenerator.cc \ simulator/cdisplaystring.cc simulator/expression.tab.cc \ simulator/patternmatcher.cc simulator/neddtdvalidator.cc \ simulator/cmessage.cc simulator/cenvir.cc simulator/matchableobject.cc \ simulator/cstringpool.cc simulator/nedyylib.cc simulator/cgate.cc \ simulator/cconfiguration.cc simulator/cdynamicchanneltype.cc \ simulator/cxmlparimpl.cc simulator/nedexception.cc \ simulator/csimulation.cc simulator/msg2.tab.cc simulator/spec_qsort.cc \ simulator/nedelements.cc simulator/cdataratechannel.cc \ simulator/clcg32.cc simulator/envirbase.cc simulator/scenario.cc \ simulator/cstringtokenizer.cc simulator/appreg.cc \ simulator/nedsupport.cc simulator/cvisitor.cc simulator/valueiterator.cc \ simulator/cmodule.cc simulator/matchablefield.cc simulator/nederror.cc \ simulator/chasher.cc simulator/cwatch.cc simulator/cparimpl.cc \ simulator/globals.cc simulator/cstringparimpl.cc \ simulator/speedometer.cc simulator/fnamelisttokenizer.cc \ simulator/nedvalidator.cc simulator/cpacketqueue.cc \ simulator/ned1generator.cc simulator/nedparser.cc simulator/ned1.tab.cc \ simulator/ccompoundmodule.cc simulator/eventlogwriter.cc \ simulator/cexpressionbuilder.cc simulator/cconfigoption.cc \ simulator/cvarhist.cc simulator/objectprinter.cc simulator/main.cc \ simulator/lex.expryy.cc simulator/startup.cc simulator/nedutil.cc \ simulator/cmathfunction.cc simulator/eventlogfilemgr.cc \ simulator/nedtypeinfo.cc simulator/cdoubleparimpl.cc \ simulator/chistogram.cc simulator/akaroarng.cc simulator/cmessageheap.cc \ simulator/simtime.cc simulator/lex.msg2yy.cc \ simulator/cregistrationlist.cc simulator/cdetect.cc \ simulator/csimplemodule.cc simulator/expression.cc \ simulator/lcgrandom.cc simulator/inifilereader.cc simulator/errmsg.cc \ simulator/carray.cc simulator/runattributes.cc simulator/expr.tab.cc \ simulator/sectionbasedconfig.cc simulator/ccommbuffer.cc \ simulator/clongparimpl.cc simulator/cmsgpar.cc simulator/cnamedobject.cc \ simulator/cksplit.cc simulator/cxmlelement.cc simulator/ned2generator.cc \ simulator/cboolparimpl.cc simulator/cdelaychannel.cc simulator/cenum.cc \ simulator/onstartup.cc simulator/cfsm.cc simulator/lex.expressionyy.cc \ simulator/fsutils.cc simulator/nedfilebuffer.cc \ simulator/cdynamicmoduletype.cc simulator/displaystring.cc \ simulator/enumstr.cc simulator/cdensityestbase.cc simulator/ctopology.cc \ simulator/cnednetworkbuilder.cc simulator/fileglobber.cc \ simulator/cproperties.cc simulator/cexception.cc \ simulator/cxmldoccache.cc simulator/saxparser_none.cc \ simulator/cparsimcomm.cc simulator/stringutil.cc \ simulator/cownedobject.cc simulator/linetokenizer.cc \ simulator/nedelement.cc simulator/cneddeclaration.cc \ simulator/cdynamicexpression.cc simulator/cnedfunction.cc \ simulator/cproperty.cc simulator/lex.ned1yy.cc simulator/bigdecimal.cc \ simulator/ccomponent.cc simulator/clinkedlist.cc simulator/nedtools.cc \ simulator/opp_run.cc simulator/nedsaxhandler.cc \ simulator/matchexpression.tab.cc simulator/cnedloader.cc \ simulator/cpar.cc simulator/nedresourcecache.cc \ simulator/nedxmlparser.cc simulator/task.cc \ simulator/cclassdescriptor.cc simulator/minixpath.cc \ simulator/cstlwatch.cc simulator/coutvector.cc simulator/args.cc \ simulator/cqueue.cc simulator/ned2.tab.cc \ simulator/nedsyntaxvalidator.cc simulator/matchexpression.cc \ simulator/cclassfactory.cc simulator/exception.cc simulator/fileutil.cc \ simulator/distrib.cc simulator/cstddev.cc simulator/stringtokenizer.cc \ simulator/matchexpressionlexer.cc simulator/cstatistic.cc \ simulator/neddtdvalidatorbase.cc simulator/nedcrossvalidator.cc \ simulator/ccomponenttype.cc simulator/cobject.cc simulator/cchannel.cc \ simulator/akoutvectormgr.cc simulator/filesnapshotmgr.cc \ simulator/lex.ned2yy.cc simulator/ccoroutine.cc \ simulator/unitconversion.cc simulator/cdefaultlist.cc simulator/util.cc \ simulator/commonutil.cc simulator/cscheduler.cc \ simulator/nedfunctions.cc simulator/cmersennetwister.cc \ simulator/sim_std_m.cc simulator/filereader.cc simulator/stringpool.cc \ simulator/cmdenv.cc simulator/fileoutvectormgr.cc simulator/cpsquare.cc \ simulator/indexedfileoutvectormgr.cc simulator/intervals.cc \ model/MACRelayUnitBase.cc model/Ieee802Ctrl_m.cc model/EtherEncap.cc \ model/MACAddress.cc model/EtherLLC.cc model/EtherFrame_m.cc \ model/EtherMAC.cc model/MACRelayUnitNP.cc model/EtherApp_m.cc \ model/EtherMACBase.cc model/EtherAppSrv.cc model/MACRelayUnitPP.cc \ model/EtherHub.cc model/EtherAppCli.cc EXEBASE=omnetpp_s NEED_MATH=yes BENCHLANG=CXX BENCH_FLAGS = -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = 1e-06 action = build allow_label_override = 0 backup_config = 1 baseexe = omnetpp_s basepeak = 0 benchdir = benchspec benchmark = 620.omnetpp_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = omnetpp_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 lognum = 042.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = omnetpp_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:38:58 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4701.205 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 620 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = 1e-05 reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 --lognum 042.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/520.omnetpp_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = General-0.sca Compile for '620.omnetpp_s' started at: 2021-07-08 14:42:29 (1625769749) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 14:42:29 (1625769749.92923) rm -rf *.o General-0.sca find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf omnetpp_s rm -rf omnetpp_s.exe rm -rf core Stop make.clean command: 2021-07-08 14:42:30 (1625769750.0912) Elapsed time for make.clean command: 00:00:00 (0.161969184875488) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 14:42:30 (1625769750.09416) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/fileoutscalarmgr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/fileoutscalarmgr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/xmlgenerator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/xmlgenerator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdisplaystring.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdisplaystring.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/expression.tab.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/expression.tab.cc expression.tab.cc: In function ‘int expressionyyparse()’: expression.tab.cc:1788:37: warning: argument 1 value ‘18446744073709551615’ exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/cstdlib:75, from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/stdlib.h:36, from expression.y:46: /usr/include/stdlib.h:539:14: note: in a call to allocation function ‘void* malloc(size_t)’ declared here 539 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ __wur; | ^~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/patternmatcher.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/patternmatcher.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/neddtdvalidator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/neddtdvalidator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmessage.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmessage.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cenvir.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cenvir.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/matchableobject.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/matchableobject.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cstringpool.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cstringpool.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedyylib.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedyylib.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cgate.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cgate.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cconfiguration.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cconfiguration.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdynamicchanneltype.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdynamicchanneltype.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cxmlparimpl.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cxmlparimpl.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedexception.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedexception.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/csimulation.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/csimulation.cc simulator/csimulation.cc: In destructor ‘virtual cSimulation::~cSimulation()’: simulator/csimulation.cc:92:9: warning: ‘throw’ will always call ‘terminate’ [-Wterminate] 92 | throw cRuntimeError(this, "cannot delete the active simulation manager object"); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ simulator/csimulation.cc:92:9: note: in C++11 destructors default to ‘noexcept’ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/msg2.tab.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/msg2.tab.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/spec_qsort.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/spec_qsort.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedelements.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedelements.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdataratechannel.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdataratechannel.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/clcg32.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/clcg32.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/envirbase.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/envirbase.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/scenario.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/scenario.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cstringtokenizer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cstringtokenizer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/appreg.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/appreg.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedsupport.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedsupport.cc simulator/nedsupport.cc: In member function ‘virtual NEDSupport::Value NEDSupport::Sizeof::evaluate(cComponent*, NEDSupport::Value*, int)’: simulator/nedsupport.cc:188:32: warning: control reaches end of non-void function [-Wreturn-type] 188 | siblingModule->size(); | ~~~~~~~~~~~~~~~~~~~^~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cvisitor.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cvisitor.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/valueiterator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/valueiterator.cc simulator/valueiterator.cc: In member function ‘std::string ValueIterator::get(int) const’: simulator/valueiterator.cc:150:1: warning: control reaches end of non-void function [-Wreturn-type] 150 | } | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmodule.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmodule.cc simulator/cmodule.cc: In destructor ‘virtual cModule::~cModule()’: simulator/cmodule.cc:75:13: warning: ‘throw’ will always call ‘terminate’ [-Wterminate] 75 | throw cRuntimeError("Cannot delete a compound module from one of its submodules!"); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ simulator/cmodule.cc:75:13: note: in C++11 destructors default to ‘noexcept’ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/matchablefield.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/matchablefield.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nederror.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nederror.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/chasher.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/chasher.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cwatch.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cwatch.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cparimpl.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cparimpl.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/globals.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/globals.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cstringparimpl.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cstringparimpl.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/speedometer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/speedometer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/fnamelisttokenizer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/fnamelisttokenizer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedvalidator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedvalidator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cpacketqueue.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cpacketqueue.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ned1generator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ned1generator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedparser.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedparser.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ned1.tab.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ned1.tab.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ccompoundmodule.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ccompoundmodule.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/eventlogwriter.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/eventlogwriter.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cexpressionbuilder.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cexpressionbuilder.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cconfigoption.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cconfigoption.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cvarhist.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cvarhist.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/objectprinter.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/objectprinter.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/main.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/main.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/lex.expryy.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/lex.expryy.cc lex.expryy.cc: In function ‘int expryylex()’: lex.expryy.cc:816:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:817:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:817:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:818:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:864:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc: In function ‘int yy_get_next_buffer()’: lex.expryy.cc:1354:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1355:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1356:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1356:31: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc: In function ‘yy_state_type yy_get_previous_state()’: lex.expryy.cc:1488:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1489:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1495:20: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc: In function ‘yy_state_type yy_try_NUL_trans(yy_state_type)’: lex.expryy.cc:1520:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1521:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1523:19: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc: At global scope: lex.expryy.cc:1541:49: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc: In function ‘void yyunput(int, char*)’: lex.expryy.cc:1543:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1553:16: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1554:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1556:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/startup.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/startup.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedutil.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedutil.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmathfunction.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmathfunction.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/eventlogfilemgr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/eventlogfilemgr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedtypeinfo.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedtypeinfo.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdoubleparimpl.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdoubleparimpl.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/chistogram.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/chistogram.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/akaroarng.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/akaroarng.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmessageheap.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmessageheap.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/simtime.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/simtime.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/lex.msg2yy.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/lex.msg2yy.cc lex.msg2yy.cc: In function ‘int msg2yylex()’: lex.msg2yy.cc:864:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:865:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:865:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:866:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:912:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc: In function ‘int yy_get_next_buffer()’: lex.msg2yy.cc:1500:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1501:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1502:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1502:31: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc: In function ‘yy_state_type yy_get_previous_state()’: lex.msg2yy.cc:1634:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1635:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1641:20: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc: In function ‘yy_state_type yy_try_NUL_trans(yy_state_type)’: lex.msg2yy.cc:1666:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1667:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1669:19: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc: At global scope: lex.msg2yy.cc:1687:49: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc: In function ‘void yyunput(int, char*)’: lex.msg2yy.cc:1689:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1699:16: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1700:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1702:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cregistrationlist.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cregistrationlist.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdetect.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdetect.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/csimplemodule.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/csimplemodule.cc simulator/csimplemodule.cc: In destructor ‘virtual cSimpleModule::~cSimpleModule()’: simulator/csimplemodule.cc:219:9: warning: ‘throw’ will always call ‘terminate’ [-Wterminate] 219 | throw cRuntimeError(this, "cannot delete itself, only via deleteModule()"); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ simulator/csimplemodule.cc:219:9: note: in C++11 destructors default to ‘noexcept’ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/expression.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/expression.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/lcgrandom.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/lcgrandom.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/inifilereader.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/inifilereader.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/errmsg.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/errmsg.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/carray.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/carray.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/runattributes.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/runattributes.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/expr.tab.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/expr.tab.cc expr.tab.cc: In function ‘int expryyparse()’: expr.tab.cc:2034:37: warning: argument 1 value ‘18446744073709551615’ exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/cstdlib:75, from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/stdlib.h:36, from expr.y:46: /usr/include/stdlib.h:539:14: note: in a call to allocation function ‘void* malloc(size_t)’ declared here 539 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ __wur; | ^~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/sectionbasedconfig.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/sectionbasedconfig.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ccommbuffer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ccommbuffer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/clongparimpl.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/clongparimpl.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmsgpar.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmsgpar.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cnamedobject.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cnamedobject.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cksplit.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cksplit.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cxmlelement.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cxmlelement.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ned2generator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ned2generator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cboolparimpl.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cboolparimpl.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdelaychannel.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdelaychannel.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cenum.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cenum.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/onstartup.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/onstartup.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cfsm.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cfsm.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/lex.expressionyy.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/lex.expressionyy.cc lex.expressionyy.cc: In function ‘int expressionyylex()’: lex.expressionyy.cc:784:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:785:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:785:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:786:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:832:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc: In function ‘int yy_get_next_buffer()’: lex.expressionyy.cc:1282:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1283:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1284:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1284:31: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc: In function ‘yy_state_type yy_get_previous_state()’: lex.expressionyy.cc:1416:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1417:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1423:20: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc: In function ‘yy_state_type yy_try_NUL_trans(yy_state_type)’: lex.expressionyy.cc:1448:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1449:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1451:19: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc: At global scope: lex.expressionyy.cc:1469:49: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc: In function ‘void yyunput(int, char*)’: lex.expressionyy.cc:1471:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1481:16: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1482:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1484:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/fsutils.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/fsutils.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedfilebuffer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedfilebuffer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdynamicmoduletype.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdynamicmoduletype.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/displaystring.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/displaystring.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/enumstr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/enumstr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdensityestbase.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdensityestbase.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ctopology.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ctopology.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cnednetworkbuilder.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cnednetworkbuilder.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/fileglobber.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/fileglobber.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cproperties.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cproperties.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cexception.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cexception.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cxmldoccache.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cxmldoccache.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/saxparser_none.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/saxparser_none.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cparsimcomm.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cparsimcomm.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/stringutil.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/stringutil.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cownedobject.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cownedobject.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/linetokenizer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/linetokenizer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedelement.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedelement.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cneddeclaration.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cneddeclaration.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdynamicexpression.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdynamicexpression.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cnedfunction.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cnedfunction.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cproperty.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cproperty.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/lex.ned1yy.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/lex.ned1yy.cc lex.ned1yy.cc: In function ‘int ned1yylex()’: lex.ned1yy.cc:943:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:944:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:944:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:945:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:991:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc: In function ‘int yy_get_next_buffer()’: lex.ned1yy.cc:1783:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1784:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1785:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1785:31: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc: In function ‘yy_state_type yy_get_previous_state()’: lex.ned1yy.cc:1917:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1918:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1924:20: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc: In function ‘yy_state_type yy_try_NUL_trans(yy_state_type)’: lex.ned1yy.cc:1949:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1950:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1952:19: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc: At global scope: lex.ned1yy.cc:1970:49: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc: In function ‘void yyunput(int, char*)’: lex.ned1yy.cc:1972:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1982:16: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1983:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1985:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/bigdecimal.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/bigdecimal.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ccomponent.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ccomponent.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/clinkedlist.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/clinkedlist.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedtools.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedtools.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/opp_run.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/opp_run.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedsaxhandler.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedsaxhandler.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/matchexpression.tab.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/matchexpression.tab.cc simulator/matchexpression.tab.cc: In function ‘int matchexpressionyyparse(void*)’: simulator/matchexpression.tab.cc:1444:44: warning: argument 1 value ‘18446744073709551615’ exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] 1444 | yymsg = (char *) YYSTACK_ALLOC (yyalloc); | ^ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/cstdlib:75, from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/stdlib.h:36, from simulator/matchexpression.tab.cc:97: /usr/include/stdlib.h:539:14: note: in a call to allocation function ‘void* malloc(size_t)’ declared here 539 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ __wur; | ^~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cnedloader.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cnedloader.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cpar.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cpar.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedresourcecache.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedresourcecache.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedxmlparser.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedxmlparser.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/task.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/task.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cclassdescriptor.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cclassdescriptor.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/minixpath.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/minixpath.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cstlwatch.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cstlwatch.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/coutvector.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/coutvector.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/args.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/args.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cqueue.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cqueue.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ned2.tab.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ned2.tab.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedsyntaxvalidator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedsyntaxvalidator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/matchexpression.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/matchexpression.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cclassfactory.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cclassfactory.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/exception.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/exception.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/fileutil.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/fileutil.cc simulator/fileutil.cc: In destructor ‘PushDir::~PushDir()’: simulator/fileutil.cc:273:13: warning: ‘throw’ will always call ‘terminate’ [-Wterminate] 273 | throw opp_runtime_error("cannot change back to directory `%s'", olddir.c_str()); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ simulator/fileutil.cc:273:13: note: in C++11 destructors default to ‘noexcept’ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/distrib.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/distrib.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cstddev.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cstddev.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/stringtokenizer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/stringtokenizer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/matchexpressionlexer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/matchexpressionlexer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cstatistic.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cstatistic.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/neddtdvalidatorbase.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/neddtdvalidatorbase.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedcrossvalidator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedcrossvalidator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ccomponenttype.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ccomponenttype.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cobject.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cobject.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cchannel.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cchannel.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/akoutvectormgr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/akoutvectormgr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/filesnapshotmgr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/filesnapshotmgr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/lex.ned2yy.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/lex.ned2yy.cc lex.ned2yy.cc: In function ‘int ned2yylex()’: lex.ned2yy.cc:907:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:908:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:908:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:909:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:955:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc: In function ‘int yy_get_next_buffer()’: lex.ned2yy.cc:1625:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1626:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1627:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1627:31: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc: In function ‘yy_state_type yy_get_previous_state()’: lex.ned2yy.cc:1759:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1760:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1766:20: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc: In function ‘yy_state_type yy_try_NUL_trans(yy_state_type)’: lex.ned2yy.cc:1791:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1792:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1794:19: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc: At global scope: lex.ned2yy.cc:1812:49: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc: In function ‘void yyunput(int, char*)’: lex.ned2yy.cc:1814:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1824:16: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1825:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1827:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ccoroutine.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ccoroutine.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/unitconversion.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/unitconversion.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdefaultlist.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdefaultlist.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/util.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/util.cc In file included from simulator/cmersennetwister.h:26, from simulator/util.cc:411: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/commonutil.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/commonutil.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cscheduler.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cscheduler.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedfunctions.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedfunctions.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmersennetwister.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmersennetwister.cc In file included from simulator/cmersennetwister.h:26, from simulator/cmersennetwister.cc:22: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/sim_std_m.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/sim_std_m.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from simulator/sim_std_m.h:8, from simulator/sim_std_m.cc:13: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/filereader.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/filereader.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/stringpool.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/stringpool.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmdenv.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmdenv.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/fileoutvectormgr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/fileoutvectormgr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cpsquare.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cpsquare.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/indexedfileoutvectormgr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/indexedfileoutvectormgr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/intervals.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/intervals.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/MACRelayUnitBase.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/MACRelayUnitBase.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/MACRelayUnitBase.h:22, from model/MACRelayUnitBase.cc:18: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/Ieee802Ctrl_m.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/Ieee802Ctrl_m.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/Ieee802Ctrl_m.h:8, from model/Ieee802Ctrl_m.cc:13: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherEncap.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherEncap.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/INETDefs.h:26, from model/Ethernet.h:21, from model/EtherEncap.h:22, from model/EtherEncap.cc:19: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/MACAddress.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/MACAddress.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/MACAddress.h:22, from model/MACAddress.cc:19: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherLLC.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherLLC.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/INETDefs.h:26, from model/Ethernet.h:21, from model/EtherLLC.h:21, from model/EtherLLC.cc:18: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherFrame_m.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherFrame_m.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/EtherFrame_m.h:8, from model/EtherFrame_m.cc:13: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherMAC.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherMAC.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/EtherMAC.cc:20: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/MACRelayUnitNP.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/MACRelayUnitNP.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/MACRelayUnitBase.h:22, from model/MACRelayUnitNP.h:22, from model/MACRelayUnitNP.cc:18: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherApp_m.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherApp_m.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/EtherApp_m.h:8, from model/EtherApp_m.cc:13: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherMACBase.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherMACBase.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/EtherMACBase.cc:21: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherAppSrv.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherAppSrv.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/INETDefs.h:26, from model/EtherAppSrv.h:21, from model/EtherAppSrv.cc:20: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/MACRelayUnitPP.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/MACRelayUnitPP.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/MACRelayUnitBase.h:22, from model/MACRelayUnitPP.h:22, from model/MACRelayUnitPP.cc:18: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherHub.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherHub.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/INETDefs.h:26, from model/EtherHub.h:21, from model/EtherHub.cc:18: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherAppCli.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherAppCli.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/INETDefs.h:26, from model/EtherAppCli.h:21, from model/EtherAppCli.cc:21: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP simulator/fileoutscalarmgr.o simulator/xmlgenerator.o simulator/cdisplaystring.o simulator/expression.tab.o simulator/patternmatcher.o simulator/neddtdvalidator.o simulator/cmessage.o simulator/cenvir.o simulator/matchableobject.o simulator/cstringpool.o simulator/nedyylib.o simulator/cgate.o simulator/cconfiguration.o simulator/cdynamicchanneltype.o simulator/cxmlparimpl.o simulator/nedexception.o simulator/csimulation.o simulator/msg2.tab.o simulator/spec_qsort.o simulator/nedelements.o simulator/cdataratechannel.o simulator/clcg32.o simulator/envirbase.o simulator/scenario.o simulator/cstringtokenizer.o simulator/appreg.o simulator/nedsupport.o simulator/cvisitor.o simulator/valueiterator.o simulator/cmodule.o simulator/matchablefield.o simulator/nederror.o simulator/chasher.o simulator/cwatch.o simulator/cparimpl.o simulator/globals.o simulator/cstringparimpl.o simulat or/speedometer.o simulator/fnamelisttokenizer.o simulator/nedvalidator.o simulator/cpacketqueue.o simulator/ned1generator.o simulator/nedparser.o simulator/ned1.tab.o simulator/ccompoundmodule.o simulator/eventlogwriter.o simulator/cexpressionbuilder.o simulator/cconfigoption.o simulator/cvarhist.o simulator/objectprinter.o simulator/main.o simulator/lex.expryy.o simulator/startup.o simulator/nedutil.o simulator/cmathfunction.o simulator/eventlogfilemgr.o simulator/nedtypeinfo.o simulator/cdoubleparimpl.o simulator/chistogram.o simulator/akaroarng.o simulator/cmessageheap.o simulator/simtime.o simulator/lex.msg2yy.o simulator/cregistrationlist.o simulator/cdetect.o simulator/csimplemodule.o simulator/expression.o simulator/lcgrandom.o simulator/inifilereader.o simulator/errmsg.o simulator/carray.o simulator/runattributes.o simulator/expr.tab.o simulator/sectionbasedconfig.o simulator/ccommbuffer.o simulator/clongparimpl.o simulator/cmsgpar.o simulator/cnamedobject.o simulator/cksplit.o simulator/cxmleleme nt.o simulator/ned2generator.o simulator/cboolparimpl.o simulator/cdelaychannel.o simulator/cenum.o simulator/onstartup.o simulator/cfsm.o simulator/lex.expressionyy.o simulator/fsutils.o simulator/nedfilebuffer.o simulator/cdynamicmoduletype.o simulator/displaystring.o simulator/enumstr.o simulator/cdensityestbase.o simulator/ctopology.o simulator/cnednetworkbuilder.o simulator/fileglobber.o simulator/cproperties.o simulator/cexception.o simulator/cxmldoccache.o simulator/saxparser_none.o simulator/cparsimcomm.o simulator/stringutil.o simulator/cownedobject.o simulator/linetokenizer.o simulator/nedelement.o simulator/cneddeclaration.o simulator/cdynamicexpression.o simulator/cnedfunction.o simulator/cproperty.o simulator/lex.ned1yy.o simulator/bigdecimal.o simulator/ccomponent.o simulator/clinkedlist.o simulator/nedtools.o simulator/opp_run.o simulator/nedsaxhandler.o simulator/matchexpression.tab.o simulator/cnedloader.o simulator/cpar.o simulator/nedresourcecache.o simulator/nedxmlparser.o simulator/ta sk.o simulator/cclassdescriptor.o simulator/minixpath.o simulator/cstlwatch.o simulator/coutvector.o simulator/args.o simulator/cqueue.o simulator/ned2.tab.o simulator/nedsyntaxvalidator.o simulator/matchexpression.o simulator/cclassfactory.o simulator/exception.o simulator/fileutil.o simulator/distrib.o simulator/cstddev.o simulator/stringtokenizer.o simulator/matchexpressionlexer.o simulator/cstatistic.o simulator/neddtdvalidatorbase.o simulator/nedcrossvalidator.o simulator/ccomponenttype.o simulator/cobject.o simulator/cchannel.o simulator/akoutvectormgr.o simulator/filesnapshotmgr.o simulator/lex.ned2yy.o simulator/ccoroutine.o simulator/unitconversion.o simulator/cdefaultlist.o simulator/util.o simulator/commonutil.o simulator/cscheduler.o simulator/nedfunctions.o simulator/cmersennetwister.o simulator/sim_std_m.o simulator/filereader.o simulator/stringpool.o simulator/cmdenv.o simulator/fileoutvectormgr.o simulator/cpsquare.o simulator/indexedfileoutvectormgr.o simulator/intervals.o model/MACRelayU nitBase.o model/Ieee802Ctrl_m.o model/EtherEncap.o model/MACAddress.o model/EtherLLC.o model/EtherFrame_m.o model/EtherMAC.o model/MACRelayUnitNP.o model/EtherApp_m.o model/EtherMACBase.o model/EtherAppSrv.o model/MACRelayUnitPP.o model/EtherHub.o model/EtherAppCli.o -o omnetpp_s Stop make command: 2021-07-08 14:44:01 (1625769841.79526) Elapsed time for make command: 00:01:31 (91.7011032104492) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 14:44:01 (1625769841.79821) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 14:44:01 (1625769841.96436) Elapsed time for options command: 00:00:00 (0.166144132614136) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 14:44:01 (1625769841.96772) CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 14:44:02 (1625769842.1301) Elapsed time for compiler-version command: 00:00:00 (0.16237998008728) Compile for '620.omnetpp_s' ended at: 2021-07-08 14:44:02 (1625769842) Elapsed compile for '620.omnetpp_s': 00:01:33 (93) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: d765c6c1b7f0a2abcae45f08460b37a96a0239c33053c12b2d045c3a3e63a3dd) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_LINUX" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: 3036c9cd71c68389bb23b844557880a91b1468c16296339739eea422060c600b) Building 623.xalancbmk_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:44:02] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=623 NAME=xalancbmk_s SOURCES= AIXPlatformUtils.cpp CSetDefs.cpp Win32PlatformUtils.cpp \ Win32MsgLoader.cpp Win32TransService.cpp Win32TransService2.cpp \ SunCCDefs.cpp SolarisPlatformUtils.cpp GCCDefs.cpp \ LinuxPlatformUtils.cpp MIPSproDefs.cpp IRIXPlatformUtils.cpp \ HPCCDefs.cpp HPPlatformUtils.cpp ASCIIRangeFactory.cpp AVT.cpp \ AVTPart.cpp AVTPartSimple.cpp AVTPartXPath.cpp AbstractDOMParser.cpp \ AbstractNumericFacetValidator.cpp AbstractNumericValidator.cpp \ AbstractStringValidator.cpp AllContentModel.cpp \ AnySimpleTypeDatatypeValidator.cpp AnyURIDatatypeValidator.cpp \ AttrImpl.cpp AttrMapImpl.cpp AttrNSImpl.cpp AttributeListImpl.cpp \ AttributesImpl.cpp BMPattern.cpp Base64.cpp \ Base64BinaryDatatypeValidator.cpp BinFileInputStream.cpp \ BinFileOutputStream.cpp BinInputStream.cpp BinMemInputStream.cpp \ BinMemOutputStream.cpp BinOutputStream.cpp BitSet.cpp \ BlockRangeFactory.cpp BooleanDatatypeValidator.cpp CDATASectionImpl.cpp \ CMAny.cpp CMBinaryOp.cpp CMUnaryOp.cpp CharToken.cpp \ CharacterDataImpl.cpp ChildNode.cpp ClosureToken.cpp CommentImpl.cpp \ ComplexTypeInfo.cpp ConcatToken.cpp ConditionToken.cpp Constants.cpp \ ContentLeafNameTypeVector.cpp ContentSpecNode.cpp CountersTable.cpp \ DFAContentModel.cpp DGXMLScanner.cpp DOMAttrImpl.cpp DOMAttrMapImpl.cpp \ DOMAttrNSImpl.cpp DOMBuilderImpl.cpp DOMCDATASectionImpl.cpp \ DOMCharacterDataImpl.cpp DOMChildNode.cpp DOMCommentImpl.cpp \ DOMConfigurationImpl.cpp DOMDeepNodeListImpl.cpp \ DOMDocumentFragmentImpl.cpp DOMDocumentImpl.cpp DOMDocumentTypeImpl.cpp \ DOMElementImpl.cpp DOMElementNSImpl.cpp DOMEntityImpl.cpp \ DOMEntityReferenceImpl.cpp DOMErrorImpl.cpp DOMException.cpp \ DOMImplementationImpl.cpp DOMImplementationRegistry.cpp \ DOMLocatorImpl.cpp DOMNamedNodeMapImpl.cpp DOMNodeIDMap.cpp \ DOMNodeImpl.cpp DOMNodeIteratorImpl.cpp DOMNodeListImpl.cpp \ DOMNodeVector.cpp DOMNormalizer.cpp DOMNotationImpl.cpp \ DOMParentNode.cpp DOMParser.cpp DOMProcessingInstructionImpl.cpp \ DOMRangeException.cpp DOMRangeImpl.cpp DOMServices.cpp DOMString.cpp \ DOMStringHelper.cpp DOMStringPool.cpp DOMStringPrintWriter.cpp \ DOMSupport.cpp DOMSupportDefault.cpp DOMSupportException.cpp \ DOMSupportInit.cpp DOMTextImpl.cpp DOMTreeWalkerImpl.cpp \ DOMTypeInfoImpl.cpp DOMWriterImpl.cpp DOMXPathException.cpp DOM_Attr.cpp \ DOM_CDATASection.cpp DOM_CharacterData.cpp DOM_Comment.cpp \ DOM_DOMException.cpp DOM_DOMImplementation.cpp DOM_Document.cpp \ DOM_DocumentFragment.cpp DOM_DocumentType.cpp DOM_Element.cpp \ DOM_Entity.cpp DOM_EntityReference.cpp DOM_NamedNodeMap.cpp DOM_Node.cpp \ DOM_NodeFilter.cpp DOM_NodeIterator.cpp DOM_NodeList.cpp \ DOM_Notation.cpp DOM_ProcessingInstruction.cpp DOM_Range.cpp \ DOM_RangeException.cpp DOM_Text.cpp DOM_TreeWalker.cpp DOM_XMLDecl.cpp \ DStringPool.cpp DTDAttDef.cpp DTDAttDefList.cpp DTDElementDecl.cpp \ DTDEntityDecl.cpp DTDGrammar.cpp DTDScanner.cpp DTDValidator.cpp \ DatatypeValidator.cpp DatatypeValidatorFactory.cpp \ DateDatatypeValidator.cpp DateTimeDatatypeValidator.cpp \ DateTimeValidator.cpp DayDatatypeValidator.cpp \ DecimalDatatypeValidator.cpp DeepNodeListImpl.cpp \ DefaultPanicHandler.cpp DocumentFragmentImpl.cpp DocumentImpl.cpp \ DocumentTypeImpl.cpp DomMemDebug.cpp DoubleDatatypeValidator.cpp \ DoubleSupport.cpp Dummy.cpp DurationDatatypeValidator.cpp \ ENTITYDatatypeValidator.cpp ElemApplyImport.cpp ElemApplyTemplates.cpp \ ElemAttribute.cpp ElemAttributeSet.cpp ElemCallTemplate.cpp \ ElemChoose.cpp ElemComment.cpp ElemCopy.cpp ElemCopyOf.cpp \ ElemDecimalFormat.cpp ElemElement.cpp ElemEmpty.cpp \ ElemExtensionCall.cpp ElemFallback.cpp ElemForEach.cpp \ ElemForwardCompatible.cpp ElemIf.cpp ElemLiteralResult.cpp \ ElemMessage.cpp ElemNumber.cpp ElemOtherwise.cpp ElemPI.cpp \ ElemParam.cpp ElemSort.cpp ElemStack.cpp ElemTemplate.cpp \ ElemTemplateElement.cpp ElemText.cpp ElemTextLiteral.cpp ElemUse.cpp \ ElemValueOf.cpp ElemVariable.cpp ElemWhen.cpp ElemWithParam.cpp \ ElementDefinitionImpl.cpp ElementImpl.cpp ElementNSImpl.cpp \ ElementPrefixResolverProxy.cpp EncodingValidator.cpp EntityImpl.cpp \ EntityReferenceImpl.cpp ExecutionContext.cpp \ ExtensionFunctionHandler.cpp ExtensionNSHandler.cpp FieldActivator.cpp \ FieldValueMap.cpp FileHandleImpl.cpp FloatDatatypeValidator.cpp \ FormatterListener.cpp FormatterStringLengthCounter.cpp \ FormatterToDOM.cpp FormatterToDeprecatedXercesDOM.cpp \ FormatterToHTML.cpp FormatterToNull.cpp FormatterToSourceTree.cpp \ FormatterToText.cpp FormatterToXML.cpp FormatterToXercesDOM.cpp \ FormatterTreeWalker.cpp Function.cpp FunctionConcat.cpp \ FunctionContains.cpp FunctionCurrent.cpp FunctionDifference.cpp \ FunctionDistinct.cpp FunctionDocument.cpp FunctionElementAvailable.cpp \ FunctionEvaluate.cpp FunctionFormatNumber.cpp \ FunctionFunctionAvailable.cpp FunctionGenerateID.cpp \ FunctionHasSameNodes.cpp FunctionID.cpp FunctionIntersection.cpp \ FunctionKey.cpp FunctionLang.cpp FunctionNamespaceURI.cpp \ FunctionNodeSet.cpp FunctionNormalizeSpace.cpp FunctionStartsWith.cpp \ FunctionString.cpp FunctionSubstring.cpp FunctionSubstringAfter.cpp \ FunctionSubstringBefore.cpp FunctionSystemProperty.cpp \ FunctionTranslate.cpp FunctionUnparsedEntityURI.cpp \ GeneralAttributeCheck.cpp GenerateEvent.cpp Grammar.cpp \ GrammarResolver.cpp HashPtr.cpp HashXMLCh.cpp HeaderDummy.cpp HexBin.cpp \ HexBinaryDatatypeValidator.cpp ICUResHandler.cpp IC_Field.cpp IC_Key.cpp \ IC_KeyRef.cpp IC_Selector.cpp IC_Unique.cpp IDDatatypeValidator.cpp \ IDREFDatatypeValidator.cpp IGXMLScanner.cpp IGXMLScanner2.cpp \ IconvTransService.cpp IdentityConstraint.cpp \ IdentityConstraintHandler.cpp InMemHandler.cpp InMemMsgLoader.cpp \ InputSource.cpp KVStringPair.cpp KeyTable.cpp ListDatatypeValidator.cpp \ LocalFileFormatTarget.cpp LocalFileInputSource.cpp Match.cpp \ MemBufFormatTarget.cpp MemBufInputSource.cpp MemoryManagerArrayImpl.cpp \ MemoryManagerImpl.cpp MixedContentModel.cpp ModifierToken.cpp \ MonthDatatypeValidator.cpp MonthDayDatatypeValidator.cpp \ MsgFileOutputStream.cpp MutableNodeRefList.cpp Mutexes.cpp \ NCNameDatatypeValidator.cpp NLSHandler.cpp NOTATIONDatatypeValidator.cpp \ NameDatatypeValidator.cpp NamedNodeMapAttributeList.cpp \ NamedNodeMapImpl.cpp NamespaceScope.cpp NamespacesHandler.cpp \ NodeIDMap.cpp NodeImpl.cpp NodeIteratorImpl.cpp NodeListImpl.cpp \ NodeNameTreeWalker.cpp NodeRefList.cpp NodeRefListBase.cpp \ NodeSortKey.cpp NodeSorter.cpp NodeVector.cpp NotationImpl.cpp \ NullPrintWriter.cpp Op.cpp OpFactory.cpp OutputContextStack.cpp \ PSVIAttribute.cpp PSVIAttributeList.cpp PSVIElement.cpp PSVIItem.cpp \ PanicHandler.cpp ParenToken.cpp ParentNode.cpp ParserForXMLSchema.cpp \ PlatformSupportInit.cpp PlatformUtils.cpp PrefixResolver.cpp \ PrintWriter.cpp ProblemListener.cpp ProblemListenerDefault.cpp \ ProcessingInstructionImpl.cpp QName.cpp QNameDatatypeValidator.cpp \ RangeFactory.cpp RangeImpl.cpp RangeToken.cpp RangeTokenMap.cpp \ ReaderMgr.cpp RefCountedImpl.cpp RegularExpression.cpp RegxParser.cpp \ RegxUtil.cpp Resettable.cpp ResultNamespacesStack.cpp SAX2Handler.cpp \ SAX2XMLFilterImpl.cpp SAX2XMLReaderImpl.cpp SAXException.cpp \ SAXParseException.cpp SAXParser.cpp SGXMLScanner.cpp SchemaAttDef.cpp \ SchemaAttDefList.cpp SchemaElementDecl.cpp SchemaGrammar.cpp \ SchemaInfo.cpp SchemaSymbols.cpp SchemaValidator.cpp SelectionEvent.cpp \ SimpleContentModel.cpp StdBinInputStream.cpp StdInInputSource.cpp \ StdOutFormatTarget.cpp StringDatatypeValidator.cpp StringPool.cpp \ StringToken.cpp StringTokenizer.cpp Stylesheet.cpp \ StylesheetConstructionContext.cpp \ StylesheetConstructionContextDefault.cpp StylesheetExecutionContext.cpp \ StylesheetExecutionContextDefault.cpp StylesheetHandler.cpp \ StylesheetRoot.cpp SubstitutionGroupComparator.cpp \ SynchronizedStringPool.cpp TextImpl.cpp TimeDatatypeValidator.cpp \ Token.cpp TokenFactory.cpp TopLevelArg.cpp TraceListener.cpp \ TraceListenerDefault.cpp TracerEvent.cpp TransService.cpp \ TraverseSchema.cpp TreeWalker.cpp TreeWalkerImpl.cpp URISupport.cpp \ URLInputSource.cpp UnicodeRangeFactory.cpp UnionDatatypeValidator.cpp \ UnionToken.cpp ValidationContextImpl.cpp ValueStore.cpp \ ValueStoreCache.cpp VariablesStack.cpp VecAttrListImpl.cpp \ VecAttributesImpl.cpp WFXMLScanner.cpp Wrapper4DOMInputSource.cpp \ Wrapper4InputSource.cpp Writer.cpp XBoolean.cpp \ XML256TableTranscoder.cpp XML256TableTranscoder390.cpp \ XML88591Transcoder.cpp XML88591Transcoder390.cpp XMLASCIITranscoder.cpp \ XMLASCIITranscoder390.cpp XMLAbstractDoubleFloat.cpp XMLAttDef.cpp \ XMLAttDefList.cpp XMLAttr.cpp XMLBigDecimal.cpp XMLBigInteger.cpp \ XMLBuffer.cpp XMLBufferMgr.cpp XMLCanRepGroup.cpp XMLChTranscoder.cpp \ XMLChar.cpp XMLContentModel.cpp XMLDTDDescription.cpp \ XMLDTDDescriptionImpl.cpp XMLDateTime.cpp XMLDeclImpl.cpp XMLDouble.cpp \ XMLEBCDICTranscoder.cpp XMLEBCDICTranscoder390.cpp XMLElementDecl.cpp \ XMLEntityDecl.cpp XMLException.cpp XMLFloat.cpp XMLFormatter.cpp \ XMLGrammarDescription.cpp XMLGrammarPoolImpl.cpp \ XMLIBM1047Transcoder.cpp XMLIBM1047Transcoder390.cpp \ XMLIBM1140Transcoder.cpp XMLIBM1140Transcoder390.cpp XMLInitializer.cpp \ XMLMsgLoader.cpp XMLNotationDecl.cpp XMLNumber.cpp XMLParserLiaison.cpp \ XMLRangeFactory.cpp XMLReader.cpp XMLRecognizer.cpp XMLRefInfo.cpp \ XMLRegisterCleanup.cpp XMLScanner.cpp XMLScannerResolver.cpp \ XMLSchemaDescription.cpp XMLSchemaDescriptionImpl.cpp XMLString.cpp \ XMLStringTokenizer.cpp XMLSupportException.cpp XMLSupportInit.cpp \ XMLUCSTranscoder.cpp XMLURL.cpp XMLUTF16Transcoder.cpp \ XMLUTF8Transcoder.cpp XMLUTF8Transcoder390.cpp XMLUni.cpp \ XMLUniCharacter.cpp XMLUri.cpp XMLValidator.cpp XMLWin1252Transcoder.cpp \ XMLWin1252Transcoder390.cpp XMemory.cpp XNodeSet.cpp \ XNodeSetAllocator.cpp XNodeSetBase.cpp XNodeSetNodeProxy.cpp \ XNodeSetNodeProxyAllocator.cpp XNodeSetResultTreeFragProxy.cpp XNull.cpp \ XNumber.cpp XNumberAllocator.cpp XNumberBase.cpp XObject.cpp \ XObjectFactory.cpp XObjectFactoryDefault.cpp \ XObjectResultTreeFragProxy.cpp XObjectResultTreeFragProxyBase.cpp \ XObjectResultTreeFragProxyText.cpp XObjectTypeCallback.cpp XPath.cpp \ XPathAllocator.cpp XPathCAPI.cpp XPathConstructionContext.cpp \ XPathConstructionContextDefault.cpp XPathEnvSupport.cpp \ XPathEnvSupportDefault.cpp XPathEvaluator.cpp XPathExecutionContext.cpp \ XPathExecutionContextDefault.cpp XPathExpression.cpp XPathFactory.cpp \ XPathFactoryBlock.cpp XPathFactoryDefault.cpp XPathFunctionTable.cpp \ XPathInit.cpp XPathMatcher.cpp XPathMatcherStack.cpp \ XPathParserException.cpp XPathProcessor.cpp XPathProcessorImpl.cpp \ XPathSymbols.cpp XProtoType.cpp XResultTreeFrag.cpp \ XResultTreeFragAllocator.cpp XSAXMLScanner.cpp XSAnnotation.cpp \ XSAttributeDeclaration.cpp XSAttributeGroupDefinition.cpp \ XSAttributeUse.cpp XSComplexTypeDefinition.cpp XSDDOMParser.cpp \ XSDElementNSImpl.cpp XSDErrorReporter.cpp XSDLocator.cpp \ XSElementDeclaration.cpp XSFacet.cpp XSIDCDefinition.cpp \ XSLException.cpp XSLTEngineImpl.cpp XSLTInit.cpp XSLTInputSource.cpp \ XSLTProcessor.cpp XSLTProcessorEnvSupport.cpp \ XSLTProcessorEnvSupportDefault.cpp XSLTProcessorException.cpp \ XSLTResultTarget.cpp XSModel.cpp XSModelGroup.cpp \ XSModelGroupDefinition.cpp XSMultiValueFacet.cpp XSNamespaceItem.cpp \ XSNotationDeclaration.cpp XSObject.cpp XSObjectFactory.cpp \ XSParticle.cpp XSSimpleTypeDefinition.cpp XSTypeDefinition.cpp \ XSValue.cpp XSWildcard.cpp XSerializeEngine.cpp XSpan.cpp XString.cpp \ XStringAdapter.cpp XStringAdapterAllocator.cpp XStringAllocator.cpp \ XStringBase.cpp XStringCached.cpp XStringCachedAllocator.cpp \ XStringReference.cpp XStringReferenceAllocator.cpp \ XTemplateSerializer.cpp XToken.cpp XTokenNumberAdapter.cpp \ XTokenNumberAdapterAllocator.cpp XTokenStringAdapter.cpp \ XTokenStringAdapterAllocator.cpp XUnknown.cpp XUtil.cpp \ XalanAVTAllocator.cpp XalanAVTPartSimpleAllocator.cpp \ XalanAVTPartXPathAllocator.cpp XalanAttr.cpp XalanBitmap.cpp \ XalanCAPI.cpp XalanCDataSection.cpp XalanCharacterData.cpp \ XalanComment.cpp XalanCompiledStylesheetDefault.cpp \ XalanDOMException.cpp XalanDOMImplementation.cpp XalanDOMInit.cpp \ XalanDOMString.cpp XalanDOMStringAllocator.cpp XalanDOMStringCache.cpp \ XalanDOMStringHashTable.cpp XalanDOMStringPool.cpp \ XalanDOMStringReusableAllocator.cpp XalanDecimalFormatSymbols.cpp \ XalanDefaultDocumentBuilder.cpp XalanDefaultParsedSource.cpp \ XalanDiagnosticMemoryManager.cpp XalanDocument.cpp \ XalanDocumentFragment.cpp XalanDocumentFragmentNodeRefListBaseProxy.cpp \ XalanDocumentPrefixResolver.cpp XalanDocumentType.cpp \ XalanEXSLTCommon.cpp XalanEXSLTDateTime.cpp XalanEXSLTDynamic.cpp \ XalanEXSLTMath.cpp XalanEXSLTSet.cpp XalanEXSLTString.cpp \ XalanElemApplyTemplatesAllocator.cpp XalanElemAttributeAllocator.cpp \ XalanElemAttributeSetAllocator.cpp XalanElemCallTemplateAllocator.cpp \ XalanElemElementAllocator.cpp XalanElemEmptyAllocator.cpp \ XalanElemLiteralResultAllocator.cpp XalanElemTemplateAllocator.cpp \ XalanElemTextAllocator.cpp XalanElemTextLiteralAllocator.cpp \ XalanElemValueOfAllocator.cpp XalanElemVariableAllocator.cpp \ XalanElement.cpp XalanEmptyNamedNodeMap.cpp \ XalanEncodingPropertyCache.cpp XalanEntity.cpp XalanEntityReference.cpp \ XalanExe.cpp XalanExtensions.cpp XalanFStreamOutputStream.cpp \ XalanFileOutputStream.cpp XalanFileUtility.cpp \ XalanHTMLElementsProperties.cpp XalanICUMessageLoader.cpp \ XalanInMemoryMessageLoader.cpp XalanMatchPatternData.cpp \ XalanMatchPatternDataAllocator.cpp XalanMemoryManagement.cpp \ XalanMemoryManagerDefault.cpp XalanMessageLoader.cpp XalanMsgLib.cpp \ XalanNLSMessageLoader.cpp XalanNamedNodeMap.cpp XalanNamespacesStack.cpp \ XalanNode.cpp XalanNodeList.cpp XalanNodeListDummy.cpp \ XalanNodeListSurrogate.cpp XalanNotation.cpp XalanNullOutputStream.cpp \ XalanNumberFormat.cpp XalanNumberingResourceBundle.cpp \ XalanOutputStream.cpp XalanOutputStreamPrintWriter.cpp \ XalanParsedSource.cpp XalanParsedURI.cpp XalanProcessingInstruction.cpp \ XalanQName.cpp XalanQNameByReference.cpp XalanQNameByValue.cpp \ XalanQNameByValueAllocator.cpp XalanReferenceCountedObject.cpp \ XalanSimplePrefixResolver.cpp XalanSourceTreeAttr.cpp \ XalanSourceTreeAttrNS.cpp XalanSourceTreeAttributeAllocator.cpp \ XalanSourceTreeAttributeNSAllocator.cpp XalanSourceTreeComment.cpp \ XalanSourceTreeCommentAllocator.cpp XalanSourceTreeContentHandler.cpp \ XalanSourceTreeDOMSupport.cpp XalanSourceTreeDocument.cpp \ XalanSourceTreeDocumentAllocator.cpp XalanSourceTreeDocumentFragment.cpp \ XalanSourceTreeDocumentFragmentAllocator.cpp XalanSourceTreeElement.cpp \ XalanSourceTreeElementA.cpp XalanSourceTreeElementAAllocator.cpp \ XalanSourceTreeElementANS.cpp XalanSourceTreeElementANSAllocator.cpp \ XalanSourceTreeElementNA.cpp XalanSourceTreeElementNAAllocator.cpp \ XalanSourceTreeElementNANS.cpp XalanSourceTreeElementNANSAllocator.cpp \ XalanSourceTreeHelper.cpp XalanSourceTreeInit.cpp \ XalanSourceTreeParserLiaison.cpp \ XalanSourceTreeProcessingInstruction.cpp \ XalanSourceTreeProcessingInstructionAllocator.cpp \ XalanSourceTreeText.cpp XalanSourceTreeTextAllocator.cpp \ XalanSourceTreeTextIWS.cpp XalanSourceTreeTextIWSAllocator.cpp \ XalanSourceTreeWrapperParsedSource.cpp XalanSpaceNodeTester.cpp \ XalanStdOutputStream.cpp XalanText.cpp \ XalanToXercesTranscoderWrapper.cpp XalanTranscodingServices.cpp \ XalanTransformer.cpp XalanTransformerOutputStream.cpp \ XalanTransformerProblemListener.cpp XalanUTF16Transcoder.cpp \ XalanUTF16Writer.cpp XalanUTF8Writer.cpp XalanXMLChar.cpp \ XalanXMLFileReporter.cpp XalanXMLSerializerBase.cpp \ XalanXMLSerializerFactory.cpp XalanXPathException.cpp \ XercesAttGroupInfo.cpp XercesAttrBridge.cpp XercesAttrWrapper.cpp \ XercesAttrWrapperAllocator.cpp XercesAttributeBridgeAllocator.cpp \ XercesBridgeHelper.cpp XercesBridgeNavigator.cpp \ XercesCDATASectionBridge.cpp XercesCDATASectionWrapper.cpp \ XercesCommentBridge.cpp XercesCommentWrapper.cpp XercesDOMException.cpp \ XercesDOMFormatterWalker.cpp XercesDOMImplementationBridge.cpp \ XercesDOMImplementationWrapper.cpp XercesDOMParsedSource.cpp \ XercesDOMParser.cpp XercesDOMSupport.cpp XercesDOMWalker.cpp \ XercesDOMWrapperException.cpp XercesDOMWrapperParsedSource.cpp \ XercesDOM_NodeHack.cpp XercesDocumentBridge.cpp \ XercesDocumentFragmentBridge.cpp XercesDocumentTypeBridge.cpp \ XercesDocumentTypeWrapper.cpp XercesDocumentWrapper.cpp \ XercesElementBridge.cpp XercesElementBridgeAllocator.cpp \ XercesElementWildcard.cpp XercesElementWrapper.cpp \ XercesElementWrapperAllocator.cpp XercesEntityBridge.cpp \ XercesEntityReferenceBridge.cpp XercesEntityReferenceWrapper.cpp \ XercesEntityWrapper.cpp XercesGroupInfo.cpp \ XercesLiaisonXalanDOMStringPool.cpp XercesNamedNodeMapAttributeList.cpp \ XercesNamedNodeMapBridge.cpp XercesNamedNodeMapWrapper.cpp \ XercesNodeListBridge.cpp XercesNodeListWrapper.cpp \ XercesNotationBridge.cpp XercesNotationWrapper.cpp \ XercesParserLiaison.cpp XercesProcessingInstructionBridge.cpp \ XercesProcessingInstructionWrapper.cpp XercesTextBridge.cpp \ XercesTextBridgeAllocator.cpp XercesTextWrapper.cpp \ XercesTextWrapperAllocator.cpp XercesToXalanNodeMap.cpp \ XercesTreeWalker.cpp XercesWrapperHelper.cpp XercesWrapperNavigator.cpp \ XercesWrapperNavigatorAllocator.cpp XercesWrapperToXalanNodeMap.cpp \ XercesXPath.cpp YearDatatypeValidator.cpp YearMonthDatatypeValidator.cpp EXEBASE=xalancbmk_s NEED_MATH= BENCHLANG=CXX BENCH_CXXFLAGS = -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -O2 -mtune=generic OS = unix PORTABILITY = -DSPEC_LINUX SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = xalancbmk_s basepeak = 0 benchdir = benchspec benchmark = 623.xalancbmk_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = xalancbmk_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 lognum = 042.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = xalancbmk_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:38:58 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4701.205 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 623 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 --lognum 042.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/523.xalancbmk_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = train-allbooks.out Compile for '623.xalancbmk_s' started at: 2021-07-08 14:44:03 (1625769843) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 14:44:03 (1625769843.57107) rm -rf *.o train-allbooks.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf xalancbmk_s rm -rf xalancbmk_s.exe rm -rf core Stop make.clean command: 2021-07-08 14:44:03 (1625769843.73733) Elapsed time for make.clean command: 00:00:00 (0.166257858276367) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 14:44:03 (1625769843.74033) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AIXPlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AIXPlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CSetDefs.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CSetDefs.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Win32PlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Win32PlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Win32MsgLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Win32MsgLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Win32TransService.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Win32TransService.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Win32TransService2.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Win32TransService2.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SunCCDefs.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SunCCDefs.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SolarisPlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SolarisPlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GCCDefs.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GCCDefs.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o LinuxPlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LinuxPlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MIPSproDefs.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MIPSproDefs.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IRIXPlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IRIXPlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HPCCDefs.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HPCCDefs.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HPPlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HPPlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ASCIIRangeFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ASCIIRangeFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AVT.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AVT.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AVTPart.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AVTPart.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AVTPartSimple.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AVTPartSimple.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AVTPartXPath.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AVTPartXPath.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AbstractDOMParser.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AbstractDOMParser.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AbstractNumericFacetValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AbstractNumericFacetValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AbstractNumericValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AbstractNumericValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AbstractStringValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AbstractStringValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AllContentModel.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AllContentModel.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AnySimpleTypeDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AnySimpleTypeDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AnyURIDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AnyURIDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AttrImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AttrImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AttrMapImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AttrMapImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AttrNSImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AttrNSImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AttributeListImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AttributeListImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AttributesImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AttributesImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BMPattern.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BMPattern.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Base64.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Base64.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Base64BinaryDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Base64BinaryDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BinFileInputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BinFileInputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BinFileOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BinFileOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BinInputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BinInputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BinMemInputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BinMemInputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BinMemOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BinMemOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BinOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BinOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BitSet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BitSet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BlockRangeFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BlockRangeFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BooleanDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BooleanDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CDATASectionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CDATASectionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CMAny.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CMAny.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CMBinaryOp.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CMBinaryOp.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CMUnaryOp.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CMUnaryOp.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CharToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CharToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CharacterDataImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CharacterDataImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ChildNode.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ChildNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ClosureToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ClosureToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CommentImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CommentImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ComplexTypeInfo.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ComplexTypeInfo.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ConcatToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ConcatToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ConditionToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ConditionToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Constants.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Constants.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ContentLeafNameTypeVector.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ContentLeafNameTypeVector.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ContentSpecNode.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ContentSpecNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CountersTable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CountersTable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DFAContentModel.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DFAContentModel.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DGXMLScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DGXMLScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMAttrImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMAttrImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMAttrMapImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMAttrMapImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMAttrNSImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMAttrNSImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMBuilderImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMBuilderImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMCDATASectionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMCDATASectionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMCharacterDataImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMCharacterDataImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMChildNode.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMChildNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMCommentImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMCommentImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMConfigurationImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMConfigurationImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMDeepNodeListImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMDeepNodeListImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMDocumentFragmentImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMDocumentFragmentImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMDocumentImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMDocumentImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMDocumentTypeImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMDocumentTypeImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMElementImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMElementImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMElementNSImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMElementNSImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMEntityImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMEntityImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMEntityReferenceImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMEntityReferenceImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMErrorImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMErrorImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMImplementationImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMImplementationImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMImplementationRegistry.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMImplementationRegistry.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMLocatorImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMLocatorImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNamedNodeMapImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNamedNodeMapImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNodeIDMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNodeIDMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNodeImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNodeImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNodeIteratorImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNodeIteratorImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNodeListImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNodeListImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNodeVector.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNodeVector.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNormalizer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNormalizer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNotationImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNotationImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMParentNode.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMParentNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMParser.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMParser.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMProcessingInstructionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMProcessingInstructionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMRangeException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMRangeException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMRangeImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMRangeImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMServices.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMServices.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMString.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMString.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMStringHelper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMStringHelper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMStringPool.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMStringPool.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMStringPrintWriter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMStringPrintWriter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMSupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMSupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMSupportDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMSupportDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMSupportException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMSupportException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMSupportInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMSupportInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMTextImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMTextImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMTreeWalkerImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMTreeWalkerImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMTypeInfoImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMTypeInfoImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMWriterImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMWriterImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMXPathException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMXPathException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Attr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Attr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_CDATASection.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_CDATASection.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_CharacterData.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_CharacterData.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Comment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Comment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_DOMException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_DOMException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_DOMImplementation.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_DOMImplementation.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Document.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Document.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_DocumentFragment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_DocumentFragment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_DocumentType.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_DocumentType.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Element.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Element.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Entity.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Entity.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_EntityReference.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_EntityReference.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_NamedNodeMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_NamedNodeMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Node.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Node.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_NodeFilter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_NodeFilter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_NodeIterator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_NodeIterator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_NodeList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_NodeList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Notation.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Notation.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_ProcessingInstruction.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_ProcessingInstruction.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Range.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Range.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_RangeException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_RangeException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Text.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Text.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_TreeWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_TreeWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_XMLDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_XMLDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DStringPool.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DStringPool.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDAttDef.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDAttDef.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDAttDefList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDAttDefList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDElementDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDElementDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDEntityDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDEntityDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDGrammar.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDGrammar.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DatatypeValidatorFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DatatypeValidatorFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DateDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DateDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DateTimeDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DateTimeDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DateTimeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DateTimeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DayDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DayDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DecimalDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DecimalDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DeepNodeListImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DeepNodeListImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DefaultPanicHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DefaultPanicHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DocumentFragmentImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DocumentFragmentImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DocumentImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DocumentImpl.cpp In file included from ./xercesc/util/RefHashTableOf.hpp:257, from xercesc/dom/deprecated/DocumentImpl.hpp:38, from DocumentImpl.cpp:25: ./xercesc/util/RefHashTableOf.c: In instantiation of ‘void xercesc_2_7::RefHashTableOf::removeKey(const void*) [with TVal = void]’: DocumentImpl.cpp:798:26: required from here ./xercesc/util/RefHashTableOf.c:166:33: warning: deleting ‘void*’ is undefined [-Wdelete-incomplete] 166 | delete curElem->fData; | ~~~~~~~~~^~~~~ ./xercesc/util/RefHashTableOf.c: In instantiation of ‘void xercesc_2_7::RefHashTableOf::put(void*, TVal*) [with TVal = void]’: DocumentImpl.cpp:800:20: required from here ./xercesc/util/RefHashTableOf.c:404:31: warning: deleting ‘void*’ is undefined [-Wdelete-incomplete] 404 | delete newBucket->fData; | ~~~~~~~~~~~^~~~~ ./xercesc/util/RefHashTableOf.c: In instantiation of ‘void xercesc_2_7::RefHashTableOf::removeAll() [with TVal = void]’: ./xercesc/util/RefHashTableOf.c:289:5: required from ‘void xercesc_2_7::RefHashTableOf::cleanup() [with TVal = void]’ ./xercesc/util/RefHashTableOf.c:112:5: required from ‘xercesc_2_7::RefHashTableOf::~RefHashTableOf() [with TVal = void]’ DocumentImpl.cpp:151:16: required from here ./xercesc/util/RefHashTableOf.c:209:33: warning: deleting ‘void*’ is undefined [-Wdelete-incomplete] 209 | delete curElem->fData; | ~~~~~~~~~^~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DocumentTypeImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DocumentTypeImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DomMemDebug.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DomMemDebug.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DoubleDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DoubleDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DoubleSupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DoubleSupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Dummy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Dummy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DurationDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DurationDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ENTITYDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ENTITYDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemApplyImport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemApplyImport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemApplyTemplates.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemApplyTemplates.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemAttribute.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemAttribute.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemAttributeSet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemAttributeSet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemCallTemplate.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemCallTemplate.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemChoose.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemChoose.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemComment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemComment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemCopy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemCopy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemCopyOf.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemCopyOf.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemDecimalFormat.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemDecimalFormat.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemElement.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemElement.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemEmpty.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemEmpty.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemExtensionCall.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemExtensionCall.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemFallback.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemFallback.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemForEach.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemForEach.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemForwardCompatible.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemForwardCompatible.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemIf.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemIf.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemLiteralResult.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemLiteralResult.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemMessage.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemMessage.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemNumber.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemNumber.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemOtherwise.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemOtherwise.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemPI.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemPI.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemParam.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemParam.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemSort.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemSort.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemStack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemStack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemTemplate.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemTemplate.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemTemplateElement.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemTemplateElement.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemText.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemText.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemTextLiteral.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemTextLiteral.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemUse.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemUse.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemValueOf.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemValueOf.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemVariable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemVariable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemWhen.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemWhen.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemWithParam.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemWithParam.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElementDefinitionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElementDefinitionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElementImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElementImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElementNSImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElementNSImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElementPrefixResolverProxy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElementPrefixResolverProxy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o EncodingValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 EncodingValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o EntityImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 EntityImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o EntityReferenceImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 EntityReferenceImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ExecutionContext.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ExecutionContext.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ExtensionFunctionHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ExtensionFunctionHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ExtensionNSHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ExtensionNSHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FieldActivator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FieldActivator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FieldValueMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FieldValueMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FileHandleImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FileHandleImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FloatDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FloatDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterListener.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterListener.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterStringLengthCounter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterStringLengthCounter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToDOM.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToDOM.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToDeprecatedXercesDOM.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToDeprecatedXercesDOM.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToHTML.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToHTML.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToNull.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToNull.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToSourceTree.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToSourceTree.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToText.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToText.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToXML.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToXML.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToXercesDOM.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToXercesDOM.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterTreeWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterTreeWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Function.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Function.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionConcat.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionConcat.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionContains.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionContains.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionCurrent.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionCurrent.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionDifference.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionDifference.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionDistinct.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionDistinct.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionDocument.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionDocument.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionElementAvailable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionElementAvailable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionEvaluate.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionEvaluate.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionFormatNumber.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionFormatNumber.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionFunctionAvailable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionFunctionAvailable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionGenerateID.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionGenerateID.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionHasSameNodes.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionHasSameNodes.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionID.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionID.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionIntersection.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionIntersection.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionKey.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionKey.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionLang.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionLang.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionNamespaceURI.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionNamespaceURI.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionNodeSet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionNodeSet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionNormalizeSpace.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionNormalizeSpace.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionStartsWith.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionStartsWith.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionString.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionString.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionSubstring.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionSubstring.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionSubstringAfter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionSubstringAfter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionSubstringBefore.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionSubstringBefore.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionSystemProperty.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionSystemProperty.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionTranslate.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionTranslate.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionUnparsedEntityURI.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionUnparsedEntityURI.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GeneralAttributeCheck.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GeneralAttributeCheck.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GenerateEvent.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GenerateEvent.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Grammar.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Grammar.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GrammarResolver.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GrammarResolver.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HashPtr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HashPtr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HashXMLCh.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HashXMLCh.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HeaderDummy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HeaderDummy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HexBin.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HexBin.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HexBinaryDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HexBinaryDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ICUResHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ICUResHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IC_Field.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IC_Field.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IC_Key.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IC_Key.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IC_KeyRef.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IC_KeyRef.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IC_Selector.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IC_Selector.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IC_Unique.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IC_Unique.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IDDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IDDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IDREFDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IDREFDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IGXMLScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IGXMLScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IGXMLScanner2.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IGXMLScanner2.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IconvTransService.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IconvTransService.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IdentityConstraint.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IdentityConstraint.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IdentityConstraintHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IdentityConstraintHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o InMemHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 InMemHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o InMemMsgLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 InMemMsgLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o InputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 InputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o KVStringPair.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 KVStringPair.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o KeyTable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 KeyTable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ListDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ListDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o LocalFileFormatTarget.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalFileFormatTarget.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o LocalFileInputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalFileInputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Match.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Match.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MemBufFormatTarget.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MemBufFormatTarget.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MemBufInputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MemBufInputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MemoryManagerArrayImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MemoryManagerArrayImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MemoryManagerImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MemoryManagerImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MixedContentModel.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MixedContentModel.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ModifierToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ModifierToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MonthDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MonthDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MonthDayDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MonthDayDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MsgFileOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MsgFileOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MutableNodeRefList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MutableNodeRefList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Mutexes.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Mutexes.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NCNameDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NCNameDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NLSHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NLSHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NOTATIONDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NOTATIONDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NameDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NameDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NamedNodeMapAttributeList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NamedNodeMapAttributeList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NamedNodeMapImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NamedNodeMapImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NamespaceScope.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NamespaceScope.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NamespacesHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NamespacesHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeIDMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeIDMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeIteratorImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeIteratorImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeListImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeListImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeNameTreeWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeNameTreeWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeRefList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeRefList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeRefListBase.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeRefListBase.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeSortKey.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeSortKey.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeSorter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeSorter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeVector.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeVector.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NotationImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NotationImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NullPrintWriter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NullPrintWriter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Op.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Op.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o OpFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 OpFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o OutputContextStack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 OutputContextStack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PSVIAttribute.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PSVIAttribute.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PSVIAttributeList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PSVIAttributeList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PSVIElement.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PSVIElement.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PSVIItem.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PSVIItem.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PanicHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PanicHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ParenToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ParenToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ParentNode.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ParentNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ParserForXMLSchema.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ParserForXMLSchema.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PlatformSupportInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PlatformSupportInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PrefixResolver.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PrefixResolver.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PrintWriter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PrintWriter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ProblemListener.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ProblemListener.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ProblemListenerDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ProblemListenerDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ProcessingInstructionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ProcessingInstructionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o QName.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 QName.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o QNameDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 QNameDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RangeFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RangeFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RangeImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RangeImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RangeToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RangeToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RangeTokenMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RangeTokenMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ReaderMgr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ReaderMgr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RefCountedImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RefCountedImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RegularExpression.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RegularExpression.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RegxParser.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RegxParser.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RegxUtil.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RegxUtil.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Resettable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Resettable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ResultNamespacesStack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ResultNamespacesStack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SAX2Handler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SAX2Handler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SAX2XMLFilterImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SAX2XMLFilterImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SAX2XMLReaderImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SAX2XMLReaderImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SAXException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SAXException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SAXParseException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SAXParseException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SAXParser.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SAXParser.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SGXMLScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SGXMLScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaAttDef.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaAttDef.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaAttDefList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaAttDefList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaElementDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaElementDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaGrammar.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaGrammar.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaInfo.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaInfo.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaSymbols.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaSymbols.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SelectionEvent.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SelectionEvent.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SimpleContentModel.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SimpleContentModel.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StdBinInputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StdBinInputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StdInInputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StdInInputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StdOutFormatTarget.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StdOutFormatTarget.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StringDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StringDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StringPool.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StringPool.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StringToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StringToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StringTokenizer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StringTokenizer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Stylesheet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Stylesheet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StylesheetConstructionContext.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StylesheetConstructionContext.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StylesheetConstructionContextDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StylesheetConstructionContextDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StylesheetExecutionContext.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StylesheetExecutionContext.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StylesheetExecutionContextDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StylesheetExecutionContextDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StylesheetHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StylesheetHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StylesheetRoot.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StylesheetRoot.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SubstitutionGroupComparator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SubstitutionGroupComparator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SynchronizedStringPool.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SynchronizedStringPool.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TextImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TextImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TimeDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TimeDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Token.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Token.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TokenFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TokenFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TopLevelArg.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TopLevelArg.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TraceListener.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TraceListener.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TraceListenerDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TraceListenerDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TracerEvent.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TracerEvent.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TransService.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TransService.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TraverseSchema.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TraverseSchema.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TreeWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TreeWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TreeWalkerImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TreeWalkerImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o URISupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 URISupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o URLInputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 URLInputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o UnicodeRangeFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 UnicodeRangeFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o UnionDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 UnionDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o UnionToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 UnionToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ValidationContextImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ValidationContextImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ValueStore.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ValueStore.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ValueStoreCache.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ValueStoreCache.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o VariablesStack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 VariablesStack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o VecAttrListImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 VecAttrListImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o VecAttributesImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 VecAttributesImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o WFXMLScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 WFXMLScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Wrapper4DOMInputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Wrapper4DOMInputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Wrapper4InputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Wrapper4InputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Writer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Writer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XBoolean.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XBoolean.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XML256TableTranscoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XML256TableTranscoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XML256TableTranscoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XML256TableTranscoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XML88591Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XML88591Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XML88591Transcoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XML88591Transcoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLASCIITranscoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLASCIITranscoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLASCIITranscoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLASCIITranscoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLAbstractDoubleFloat.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLAbstractDoubleFloat.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLAttDef.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLAttDef.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLAttDefList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLAttDefList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLAttr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLAttr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLBigDecimal.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLBigDecimal.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLBigInteger.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLBigInteger.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLBuffer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLBuffer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLBufferMgr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLBufferMgr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLCanRepGroup.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLCanRepGroup.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLChTranscoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLChTranscoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLChar.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLChar.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLContentModel.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLContentModel.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLDTDDescription.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLDTDDescription.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLDTDDescriptionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLDTDDescriptionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLDateTime.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLDateTime.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLDeclImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLDeclImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLDouble.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLDouble.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLEBCDICTranscoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLEBCDICTranscoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLEBCDICTranscoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLEBCDICTranscoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLElementDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLElementDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLEntityDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLEntityDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLFloat.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLFloat.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLFormatter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLFormatter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLGrammarDescription.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLGrammarDescription.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLGrammarPoolImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLGrammarPoolImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLIBM1047Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLIBM1047Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLIBM1047Transcoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLIBM1047Transcoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLIBM1140Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLIBM1140Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLIBM1140Transcoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLIBM1140Transcoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLInitializer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLInitializer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLMsgLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLMsgLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLNotationDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLNotationDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLNumber.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLNumber.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLParserLiaison.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLParserLiaison.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLRangeFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLRangeFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLReader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLReader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLRecognizer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLRecognizer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLRefInfo.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLRefInfo.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLRegisterCleanup.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLRegisterCleanup.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLScannerResolver.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLScannerResolver.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLSchemaDescription.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLSchemaDescription.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLSchemaDescriptionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLSchemaDescriptionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLString.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLString.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLStringTokenizer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLStringTokenizer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLSupportException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLSupportException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLSupportInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLSupportInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUCSTranscoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUCSTranscoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLURL.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLURL.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUTF16Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUTF16Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUTF8Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUTF8Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUTF8Transcoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUTF8Transcoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUni.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUni.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUniCharacter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUniCharacter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUri.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUri.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLWin1252Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLWin1252Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLWin1252Transcoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLWin1252Transcoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMemory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMemory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNodeSet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNodeSet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNodeSetAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNodeSetAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNodeSetBase.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNodeSetBase.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNodeSetNodeProxy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNodeSetNodeProxy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNodeSetNodeProxyAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNodeSetNodeProxyAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNodeSetResultTreeFragProxy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNodeSetResultTreeFragProxy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNull.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNull.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNumber.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNumber.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNumberAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNumberAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNumberBase.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNumberBase.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObject.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObject.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObjectFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObjectFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObjectFactoryDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObjectFactoryDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObjectResultTreeFragProxy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObjectResultTreeFragProxy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObjectResultTreeFragProxyBase.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObjectResultTreeFragProxyBase.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObjectResultTreeFragProxyText.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObjectResultTreeFragProxyText.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObjectTypeCallback.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObjectTypeCallback.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPath.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPath.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathCAPI.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathCAPI.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathConstructionContext.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathConstructionContext.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathConstructionContextDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathConstructionContextDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathEnvSupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathEnvSupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathEnvSupportDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathEnvSupportDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathEvaluator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathEvaluator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathExecutionContext.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathExecutionContext.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathExecutionContextDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathExecutionContextDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathExpression.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathExpression.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathFactoryBlock.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathFactoryBlock.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathFactoryDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathFactoryDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathFunctionTable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathFunctionTable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathMatcher.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathMatcher.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathMatcherStack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathMatcherStack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathParserException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathParserException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathProcessor.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathProcessor.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathProcessorImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathProcessorImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathSymbols.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathSymbols.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XProtoType.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XProtoType.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XResultTreeFrag.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XResultTreeFrag.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XResultTreeFragAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XResultTreeFragAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSAXMLScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSAXMLScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSAnnotation.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSAnnotation.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSAttributeDeclaration.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSAttributeDeclaration.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSAttributeGroupDefinition.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSAttributeGroupDefinition.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSAttributeUse.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSAttributeUse.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSComplexTypeDefinition.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSComplexTypeDefinition.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSDDOMParser.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSDDOMParser.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSDElementNSImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSDElementNSImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSDErrorReporter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSDErrorReporter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSDLocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSDLocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSElementDeclaration.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSElementDeclaration.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSFacet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSFacet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSIDCDefinition.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSIDCDefinition.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTEngineImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTEngineImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTInputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTInputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTProcessor.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTProcessor.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTProcessorEnvSupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTProcessorEnvSupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTProcessorEnvSupportDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTProcessorEnvSupportDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTProcessorException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTProcessorException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTResultTarget.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTResultTarget.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSModel.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSModel.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSModelGroup.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSModelGroup.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSModelGroupDefinition.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSModelGroupDefinition.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSMultiValueFacet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSMultiValueFacet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSNamespaceItem.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSNamespaceItem.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSNotationDeclaration.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSNotationDeclaration.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSObject.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSObject.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSObjectFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSObjectFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSParticle.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSParticle.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSSimpleTypeDefinition.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSSimpleTypeDefinition.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSTypeDefinition.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSTypeDefinition.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSValue.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSValue.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSWildcard.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSWildcard.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSerializeEngine.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSerializeEngine.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSpan.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSpan.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XString.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XString.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringAdapter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringAdapter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringAdapterAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringAdapterAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringBase.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringBase.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringCached.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringCached.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringCachedAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringCachedAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringReference.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringReference.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringReferenceAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringReferenceAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XTemplateSerializer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XTemplateSerializer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XTokenNumberAdapter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XTokenNumberAdapter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XTokenNumberAdapterAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XTokenNumberAdapterAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XTokenStringAdapter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XTokenStringAdapter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XTokenStringAdapterAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XTokenStringAdapterAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XUnknown.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XUnknown.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XUtil.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XUtil.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanAVTAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanAVTAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanAVTPartSimpleAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanAVTPartSimpleAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanAVTPartXPathAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanAVTPartXPathAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanAttr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanAttr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanBitmap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanBitmap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanCAPI.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanCAPI.cpp In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/backward/strstream:50, from XalanCAPI.cpp:28: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/backward/backward_warning.h:32:2: warning: #warning This file includes at least one deprecated or antiquated header which may be removed without further notice at a future date. Please use a non-deprecated interface with equivalent functionality instead. For a listing of replacement headers and interfaces, consult the file backward_warning.h. To disable this warning use -Wno-deprecated. [-Wcpp] 32 | #warning \ | ^~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanCDataSection.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanCDataSection.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanCharacterData.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanCharacterData.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanComment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanComment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanCompiledStylesheetDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanCompiledStylesheetDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMImplementation.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMImplementation.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMString.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMString.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMStringAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMStringAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMStringCache.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMStringCache.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMStringHashTable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMStringHashTable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMStringPool.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMStringPool.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMStringReusableAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMStringReusableAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDecimalFormatSymbols.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDecimalFormatSymbols.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDefaultDocumentBuilder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDefaultDocumentBuilder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDefaultParsedSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDefaultParsedSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDiagnosticMemoryManager.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDiagnosticMemoryManager.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDocument.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDocument.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDocumentFragment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDocumentFragment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDocumentFragmentNodeRefListBaseProxy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDocumentFragmentNodeRefListBaseProxy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDocumentPrefixResolver.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDocumentPrefixResolver.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDocumentType.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDocumentType.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEXSLTCommon.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEXSLTCommon.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEXSLTDateTime.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEXSLTDateTime.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEXSLTDynamic.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEXSLTDynamic.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEXSLTMath.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEXSLTMath.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEXSLTSet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEXSLTSet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEXSLTString.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEXSLTString.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemApplyTemplatesAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemApplyTemplatesAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemAttributeAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemAttributeAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemAttributeSetAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemAttributeSetAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemCallTemplateAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemCallTemplateAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemElementAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemElementAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemEmptyAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemEmptyAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemLiteralResultAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemLiteralResultAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemTemplateAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemTemplateAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemTextAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemTextAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemTextLiteralAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemTextLiteralAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemValueOfAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemValueOfAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemVariableAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemVariableAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElement.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElement.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEmptyNamedNodeMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEmptyNamedNodeMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEncodingPropertyCache.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEncodingPropertyCache.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEntity.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEntity.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEntityReference.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEntityReference.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanExe.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanExe.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanExtensions.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanExtensions.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanFStreamOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanFStreamOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanFileOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanFileOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanFileUtility.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanFileUtility.cpp In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/backward/strstream:50, from xalanc/Harness/XalanFileUtility.hpp:30, from XalanFileUtility.cpp:17: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/backward/backward_warning.h:32:2: warning: #warning This file includes at least one deprecated or antiquated header which may be removed without further notice at a future date. Please use a non-deprecated interface with equivalent functionality instead. For a listing of replacement headers and interfaces, consult the file backward_warning.h. To disable this warning use -Wno-deprecated. [-Wcpp] 32 | #warning \ | ^~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanHTMLElementsProperties.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanHTMLElementsProperties.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanICUMessageLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanICUMessageLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanInMemoryMessageLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanInMemoryMessageLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanMatchPatternData.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanMatchPatternData.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanMatchPatternDataAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanMatchPatternDataAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanMemoryManagement.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanMemoryManagement.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanMemoryManagerDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanMemoryManagerDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanMessageLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanMessageLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanMsgLib.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanMsgLib.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNLSMessageLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNLSMessageLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNamedNodeMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNamedNodeMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNamespacesStack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNamespacesStack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNode.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNodeList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNodeList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNodeListDummy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNodeListDummy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNodeListSurrogate.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNodeListSurrogate.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNotation.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNotation.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNullOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNullOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNumberFormat.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNumberFormat.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNumberingResourceBundle.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNumberingResourceBundle.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanOutputStreamPrintWriter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanOutputStreamPrintWriter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanParsedSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanParsedSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanParsedURI.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanParsedURI.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanProcessingInstruction.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanProcessingInstruction.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanQName.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanQName.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanQNameByReference.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanQNameByReference.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanQNameByValue.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanQNameByValue.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanQNameByValueAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanQNameByValueAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanReferenceCountedObject.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanReferenceCountedObject.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSimplePrefixResolver.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSimplePrefixResolver.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeAttr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeAttr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeAttrNS.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeAttrNS.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeAttributeAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeAttributeAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeAttributeNSAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeAttributeNSAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeComment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeComment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeCommentAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeCommentAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeContentHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeContentHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeDOMSupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeDOMSupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeDocument.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeDocument.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeDocumentAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeDocumentAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeDocumentFragment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeDocumentFragment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeDocumentFragmentAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeDocumentFragmentAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElement.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElement.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementA.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementA.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementAAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementAAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementANS.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementANS.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementANSAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementANSAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementNA.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementNA.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementNAAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementNAAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementNANS.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementNANS.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementNANSAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementNANSAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeHelper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeHelper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeParserLiaison.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeParserLiaison.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeProcessingInstruction.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeProcessingInstruction.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeProcessingInstructionAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeProcessingInstructionAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeText.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeText.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeTextAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeTextAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeTextIWS.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeTextIWS.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeTextIWSAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeTextIWSAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeWrapperParsedSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeWrapperParsedSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSpaceNodeTester.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSpaceNodeTester.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanStdOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanStdOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanText.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanText.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanToXercesTranscoderWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanToXercesTranscoderWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanTranscodingServices.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanTranscodingServices.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanTransformer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanTransformer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanTransformerOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanTransformerOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanTransformerProblemListener.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanTransformerProblemListener.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanUTF16Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanUTF16Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanUTF16Writer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanUTF16Writer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanUTF8Writer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanUTF8Writer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanXMLChar.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanXMLChar.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanXMLFileReporter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanXMLFileReporter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanXMLSerializerBase.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanXMLSerializerBase.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanXMLSerializerFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanXMLSerializerFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanXPathException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanXPathException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesAttGroupInfo.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesAttGroupInfo.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesAttrBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesAttrBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesAttrWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesAttrWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesAttrWrapperAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesAttrWrapperAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesAttributeBridgeAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesAttributeBridgeAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesBridgeHelper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesBridgeHelper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesBridgeNavigator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesBridgeNavigator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesCDATASectionBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesCDATASectionBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesCDATASectionWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesCDATASectionWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesCommentBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesCommentBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesCommentWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesCommentWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMFormatterWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMFormatterWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMImplementationBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMImplementationBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMImplementationWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMImplementationWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMParsedSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMParsedSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMParser.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMParser.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMSupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMSupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMWrapperException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMWrapperException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMWrapperParsedSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMWrapperParsedSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOM_NodeHack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOM_NodeHack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDocumentBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDocumentBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDocumentFragmentBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDocumentFragmentBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDocumentTypeBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDocumentTypeBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDocumentTypeWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDocumentTypeWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDocumentWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDocumentWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesElementBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesElementBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesElementBridgeAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesElementBridgeAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesElementWildcard.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesElementWildcard.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesElementWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesElementWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesElementWrapperAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesElementWrapperAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesEntityBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesEntityBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesEntityReferenceBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesEntityReferenceBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesEntityReferenceWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesEntityReferenceWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesEntityWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesEntityWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesGroupInfo.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesGroupInfo.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesLiaisonXalanDOMStringPool.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesLiaisonXalanDOMStringPool.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNamedNodeMapAttributeList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNamedNodeMapAttributeList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNamedNodeMapBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNamedNodeMapBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNamedNodeMapWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNamedNodeMapWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNodeListBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNodeListBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNodeListWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNodeListWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNotationBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNotationBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNotationWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNotationWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesParserLiaison.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesParserLiaison.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesProcessingInstructionBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesProcessingInstructionBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesProcessingInstructionWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesProcessingInstructionWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesTextBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesTextBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesTextBridgeAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesTextBridgeAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesTextWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesTextWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesTextWrapperAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesTextWrapperAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesToXalanNodeMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesToXalanNodeMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesTreeWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesTreeWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesWrapperHelper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesWrapperHelper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesWrapperNavigator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesWrapperNavigator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesWrapperNavigatorAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesWrapperNavigatorAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesWrapperToXalanNodeMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesWrapperToXalanNodeMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesXPath.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesXPath.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o YearDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 YearDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o YearMonthDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 YearMonthDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP AIXPlatformUtils.o CSetDefs.o Win32PlatformUtils.o Win32MsgLoader.o Win32TransService.o Win32TransService2.o SunCCDefs.o SolarisPlatformUtils.o GCCDefs.o LinuxPlatformUtils.o MIPSproDefs.o IRIXPlatformUtils.o HPCCDefs.o HPPlatformUtils.o ASCIIRangeFactory.o AVT.o AVTPart.o AVTPartSimple.o AVTPartXPath.o AbstractDOMParser.o AbstractNumericFacetValidator.o AbstractNumericValidator.o AbstractStringValidator.o AllContentModel.o AnySimpleTypeDatatypeValidator.o AnyURIDatatypeValidator.o AttrImpl.o AttrMapImpl.o AttrNSImpl.o AttributeListImpl.o AttributesImpl.o BMPattern.o Base64.o Base64BinaryDatatypeValidator.o BinFileInputStream.o BinFileOutputStream.o BinInputStream.o BinMemInputStream.o BinMemOutputStream.o BinOutputStream.o BitSet.o BlockRangeFactory.o BooleanDatatypeValidator.o CDATASectionImpl.o CMAny.o CMBinaryOp.o CMUnaryOp.o CharToken.o CharacterDataImpl.o ChildNode.o ClosureToken.o CommentImpl.o ComplexTypeInfo.o ConcatToken.o ConditionToken.o Constants.o ContentLeafNameTypeVector.o ContentSpecNode.o CountersTable.o DFAContentModel.o DGXMLScanner.o DOMAttrImpl.o DOMAttrMapImpl.o DOMAttrNSImpl.o DOMBuilderImpl.o DOMCDATASectionImpl.o DOMCharacterDataImpl.o DOMChildNode.o DOMCommentImpl.o DOMConfigurationImpl.o DOMDeepNodeListImpl.o DOMDocumentFragmentImpl.o DOMDocumentImpl.o DOMDocumentTypeImpl.o DOMElementImpl.o DOMElementNSImpl.o DOMEntityImpl.o DOMEntityReferenceImpl.o DOMErrorImpl.o DOMException.o DOMImplementationImpl.o DOMImplementationRegistry.o DOMLocatorImpl.o DOMNamedNodeMapImpl.o DOMNodeIDMap.o DOMNodeImpl.o DOMNodeIteratorImpl.o DOMNodeListImpl.o DOMNodeVector.o DOMNormalizer.o DOMNotationImpl.o DOMParentNode.o DOMParser.o DOMProcessingInstructionImpl.o DOMRangeException.o DOMRangeImpl.o DOMServices.o DOMString.o DOMStringHelper.o DOMStringPool.o DOMStringPrintWriter.o DOMSupport.o DOMSupportDefault.o DOMSupportException.o DOMSupportInit.o DOMT extImpl.o DOMTreeWalkerImpl.o DOMTypeInfoImpl.o DOMWriterImpl.o DOMXPathException.o DOM_Attr.o DOM_CDATASection.o DOM_CharacterData.o DOM_Comment.o DOM_DOMException.o DOM_DOMImplementation.o DOM_Document.o DOM_DocumentFragment.o DOM_DocumentType.o DOM_Element.o DOM_Entity.o DOM_EntityReference.o DOM_NamedNodeMap.o DOM_Node.o DOM_NodeFilter.o DOM_NodeIterator.o DOM_NodeList.o DOM_Notation.o DOM_ProcessingInstruction.o DOM_Range.o DOM_RangeException.o DOM_Text.o DOM_TreeWalker.o DOM_XMLDecl.o DStringPool.o DTDAttDef.o DTDAttDefList.o DTDElementDecl.o DTDEntityDecl.o DTDGrammar.o DTDScanner.o DTDValidator.o DatatypeValidator.o DatatypeValidatorFactory.o DateDatatypeValidator.o DateTimeDatatypeValidator.o DateTimeValidator.o DayDatatypeValidator.o DecimalDatatypeValidator.o DeepNodeListImpl.o DefaultPanicHandler.o DocumentFragmentImpl.o DocumentImpl.o DocumentTypeImpl.o DomMemDebug.o DoubleDatatypeValidator.o DoubleSupport.o Dummy.o DurationDatatypeValidator.o ENTITYDatatypeValidator.o ElemApplyImport.o ElemA pplyTemplates.o ElemAttribute.o ElemAttributeSet.o ElemCallTemplate.o ElemChoose.o ElemComment.o ElemCopy.o ElemCopyOf.o ElemDecimalFormat.o ElemElement.o ElemEmpty.o ElemExtensionCall.o ElemFallback.o ElemForEach.o ElemForwardCompatible.o ElemIf.o ElemLiteralResult.o ElemMessage.o ElemNumber.o ElemOtherwise.o ElemPI.o ElemParam.o ElemSort.o ElemStack.o ElemTemplate.o ElemTemplateElement.o ElemText.o ElemTextLiteral.o ElemUse.o ElemValueOf.o ElemVariable.o ElemWhen.o ElemWithParam.o ElementDefinitionImpl.o ElementImpl.o ElementNSImpl.o ElementPrefixResolverProxy.o EncodingValidator.o EntityImpl.o EntityReferenceImpl.o ExecutionContext.o ExtensionFunctionHandler.o ExtensionNSHandler.o FieldActivator.o FieldValueMap.o FileHandleImpl.o FloatDatatypeValidator.o FormatterListener.o FormatterStringLengthCounter.o FormatterToDOM.o FormatterToDeprecatedXercesDOM.o FormatterToHTML.o FormatterToNull.o FormatterToSourceTree.o FormatterToText.o FormatterToXML.o FormatterToXercesDOM.o FormatterTreeWalker.o Function.o FunctionConcat.o FunctionContains.o FunctionCurrent.o FunctionDifference.o FunctionDistinct.o FunctionDocument.o FunctionElementAvailable.o FunctionEvaluate.o FunctionFormatNumber.o FunctionFunctionAvailable.o FunctionGenerateID.o FunctionHasSameNodes.o FunctionID.o FunctionIntersection.o FunctionKey.o FunctionLang.o FunctionNamespaceURI.o FunctionNodeSet.o FunctionNormalizeSpace.o FunctionStartsWith.o FunctionString.o FunctionSubstring.o FunctionSubstringAfter.o FunctionSubstringBefore.o FunctionSystemProperty.o FunctionTranslate.o FunctionUnparsedEntityURI.o GeneralAttributeCheck.o GenerateEvent.o Grammar.o GrammarResolver.o HashPtr.o HashXMLCh.o HeaderDummy.o HexBin.o HexBinaryDatatypeValidator.o ICUResHandler.o IC_Field.o IC_Key.o IC_KeyRef.o IC_Selector.o IC_Unique.o IDDatatypeValidator.o IDREFDatatypeValidator.o IGXMLScanner.o IGXMLScanner2.o IconvTransService.o IdentityConstraint.o IdentityConstraintHandler.o InMemHandler.o InMemMsgLoader.o InputSource.o KVStringPair.o KeyTable.o ListDatatypeValida tor.o LocalFileFormatTarget.o LocalFileInputSource.o Match.o MemBufFormatTarget.o MemBufInputSource.o MemoryManagerArrayImpl.o MemoryManagerImpl.o MixedContentModel.o ModifierToken.o MonthDatatypeValidator.o MonthDayDatatypeValidator.o MsgFileOutputStream.o MutableNodeRefList.o Mutexes.o NCNameDatatypeValidator.o NLSHandler.o NOTATIONDatatypeValidator.o NameDatatypeValidator.o NamedNodeMapAttributeList.o NamedNodeMapImpl.o NamespaceScope.o NamespacesHandler.o NodeIDMap.o NodeImpl.o NodeIteratorImpl.o NodeListImpl.o NodeNameTreeWalker.o NodeRefList.o NodeRefListBase.o NodeSortKey.o NodeSorter.o NodeVector.o NotationImpl.o NullPrintWriter.o Op.o OpFactory.o OutputContextStack.o PSVIAttribute.o PSVIAttributeList.o PSVIElement.o PSVIItem.o PanicHandler.o ParenToken.o ParentNode.o ParserForXMLSchema.o PlatformSupportInit.o PlatformUtils.o PrefixResolver.o PrintWriter.o ProblemListener.o ProblemListenerDefault.o ProcessingInstructionImpl.o QName.o QNameDatatypeValidator.o RangeFactory.o RangeImpl.o RangeToken.o RangeTokenMap.o ReaderMgr.o RefCountedImpl.o RegularExpression.o RegxParser.o RegxUtil.o Resettable.o ResultNamespacesStack.o SAX2Handler.o SAX2XMLFilterImpl.o SAX2XMLReaderImpl.o SAXException.o SAXParseException.o SAXParser.o SGXMLScanner.o SchemaAttDef.o SchemaAttDefList.o SchemaElementDecl.o SchemaGrammar.o SchemaInfo.o SchemaSymbols.o SchemaValidator.o SelectionEvent.o SimpleContentModel.o StdBinInputStream.o StdInInputSource.o StdOutFormatTarget.o StringDatatypeValidator.o StringPool.o StringToken.o StringTokenizer.o Stylesheet.o StylesheetConstructionContext.o StylesheetConstructionContextDefault.o StylesheetExecutionContext.o StylesheetExecutionContextDefault.o StylesheetHandler.o StylesheetRoot.o SubstitutionGroupComparator.o SynchronizedStringPool.o TextImpl.o TimeDatatypeValidator.o Token.o TokenFactory.o TopLevelArg.o TraceListener.o TraceListenerDefault.o TracerEvent.o TransService.o TraverseSchema.o TreeWalker.o TreeWalkerImpl.o URISupport.o URLInputSource.o UnicodeRangeFactory.o UnionDataty peValidator.o UnionToken.o ValidationContextImpl.o ValueStore.o ValueStoreCache.o VariablesStack.o VecAttrListImpl.o VecAttributesImpl.o WFXMLScanner.o Wrapper4DOMInputSource.o Wrapper4InputSource.o Writer.o XBoolean.o XML256TableTranscoder.o XML256TableTranscoder390.o XML88591Transcoder.o XML88591Transcoder390.o XMLASCIITranscoder.o XMLASCIITranscoder390.o XMLAbstractDoubleFloat.o XMLAttDef.o XMLAttDefList.o XMLAttr.o XMLBigDecimal.o XMLBigInteger.o XMLBuffer.o XMLBufferMgr.o XMLCanRepGroup.o XMLChTranscoder.o XMLChar.o XMLContentModel.o XMLDTDDescription.o XMLDTDDescriptionImpl.o XMLDateTime.o XMLDeclImpl.o XMLDouble.o XMLEBCDICTranscoder.o XMLEBCDICTranscoder390.o XMLElementDecl.o XMLEntityDecl.o XMLException.o XMLFloat.o XMLFormatter.o XMLGrammarDescription.o XMLGrammarPoolImpl.o XMLIBM1047Transcoder.o XMLIBM1047Transcoder390.o XMLIBM1140Transcoder.o XMLIBM1140Transcoder390.o XMLInitializer.o XMLMsgLoader.o XMLNotationDecl.o XMLNumber.o XMLParserLiaison.o XMLRangeFactory.o XMLReader.o XMLRecognizer.o XMLRefInfo.o XMLRegisterCleanup.o XMLScanner.o XMLScannerResolver.o XMLSchemaDescription.o XMLSchemaDescriptionImpl.o XMLString.o XMLStringTokenizer.o XMLSupportException.o XMLSupportInit.o XMLUCSTranscoder.o XMLURL.o XMLUTF16Transcoder.o XMLUTF8Transcoder.o XMLUTF8Transcoder390.o XMLUni.o XMLUniCharacter.o XMLUri.o XMLValidator.o XMLWin1252Transcoder.o XMLWin1252Transcoder390.o XMemory.o XNodeSet.o XNodeSetAllocator.o XNodeSetBase.o XNodeSetNodeProxy.o XNodeSetNodeProxyAllocator.o XNodeSetResultTreeFragProxy.o XNull.o XNumber.o XNumberAllocator.o XNumberBase.o XObject.o XObjectFactory.o XObjectFactoryDefault.o XObjectResultTreeFragProxy.o XObjectResultTreeFragProxyBase.o XObjectResultTreeFragProxyText.o XObjectTypeCallback.o XPath.o XPathAllocator.o XPathCAPI.o XPathConstructionContext.o XPathConstructionContextDefault.o XPathEnvSupport.o XPathEnvSupportDefault.o XPathEvaluator.o XPathExecutionContext.o XPathExecutionContextDefault.o XPathExpression.o XPathFactory.o XPathFactoryBlock.o XPathFactoryDefaul t.o XPathFunctionTable.o XPathInit.o XPathMatcher.o XPathMatcherStack.o XPathParserException.o XPathProcessor.o XPathProcessorImpl.o XPathSymbols.o XProtoType.o XResultTreeFrag.o XResultTreeFragAllocator.o XSAXMLScanner.o XSAnnotation.o XSAttributeDeclaration.o XSAttributeGroupDefinition.o XSAttributeUse.o XSComplexTypeDefinition.o XSDDOMParser.o XSDElementNSImpl.o XSDErrorReporter.o XSDLocator.o XSElementDeclaration.o XSFacet.o XSIDCDefinition.o XSLException.o XSLTEngineImpl.o XSLTInit.o XSLTInputSource.o XSLTProcessor.o XSLTProcessorEnvSupport.o XSLTProcessorEnvSupportDefault.o XSLTProcessorException.o XSLTResultTarget.o XSModel.o XSModelGroup.o XSModelGroupDefinition.o XSMultiValueFacet.o XSNamespaceItem.o XSNotationDeclaration.o XSObject.o XSObjectFactory.o XSParticle.o XSSimpleTypeDefinition.o XSTypeDefinition.o XSValue.o XSWildcard.o XSerializeEngine.o XSpan.o XString.o XStringAdapter.o XStringAdapterAllocator.o XStringAllocator.o XStringBase.o XStringCached.o XStringCachedAllocator.o XStringReferen ce.o XStringReferenceAllocator.o XTemplateSerializer.o XToken.o XTokenNumberAdapter.o XTokenNumberAdapterAllocator.o XTokenStringAdapter.o XTokenStringAdapterAllocator.o XUnknown.o XUtil.o XalanAVTAllocator.o XalanAVTPartSimpleAllocator.o XalanAVTPartXPathAllocator.o XalanAttr.o XalanBitmap.o XalanCAPI.o XalanCDataSection.o XalanCharacterData.o XalanComment.o XalanCompiledStylesheetDefault.o XalanDOMException.o XalanDOMImplementation.o XalanDOMInit.o XalanDOMString.o XalanDOMStringAllocator.o XalanDOMStringCache.o XalanDOMStringHashTable.o XalanDOMStringPool.o XalanDOMStringReusableAllocator.o XalanDecimalFormatSymbols.o XalanDefaultDocumentBuilder.o XalanDefaultParsedSource.o XalanDiagnosticMemoryManager.o XalanDocument.o XalanDocumentFragment.o XalanDocumentFragmentNodeRefListBaseProxy.o XalanDocumentPrefixResolver.o XalanDocumentType.o XalanEXSLTCommon.o XalanEXSLTDateTime.o XalanEXSLTDynamic.o XalanEXSLTMath.o XalanEXSLTSet.o XalanEXSLTString.o XalanElemApplyTemplatesAllocator.o XalanElemAttributeAllo cator.o XalanElemAttributeSetAllocator.o XalanElemCallTemplateAllocator.o XalanElemElementAllocator.o XalanElemEmptyAllocator.o XalanElemLiteralResultAllocator.o XalanElemTemplateAllocator.o XalanElemTextAllocator.o XalanElemTextLiteralAllocator.o XalanElemValueOfAllocator.o XalanElemVariableAllocator.o XalanElement.o XalanEmptyNamedNodeMap.o XalanEncodingPropertyCache.o XalanEntity.o XalanEntityReference.o XalanExe.o XalanExtensions.o XalanFStreamOutputStream.o XalanFileOutputStream.o XalanFileUtility.o XalanHTMLElementsProperties.o XalanICUMessageLoader.o XalanInMemoryMessageLoader.o XalanMatchPatternData.o XalanMatchPatternDataAllocator.o XalanMemoryManagement.o XalanMemoryManagerDefault.o XalanMessageLoader.o XalanMsgLib.o XalanNLSMessageLoader.o XalanNamedNodeMap.o XalanNamespacesStack.o XalanNode.o XalanNodeList.o XalanNodeListDummy.o XalanNodeListSurrogate.o XalanNotation.o XalanNullOutputStream.o XalanNumberFormat.o XalanNumberingResourceBundle.o XalanOutputStream.o XalanOutputStreamPrintWriter.o XalanParsedSource.o XalanParsedURI.o XalanProcessingInstruction.o XalanQName.o XalanQNameByReference.o XalanQNameByValue.o XalanQNameByValueAllocator.o XalanReferenceCountedObject.o XalanSimplePrefixResolver.o XalanSourceTreeAttr.o XalanSourceTreeAttrNS.o XalanSourceTreeAttributeAllocator.o XalanSourceTreeAttributeNSAllocator.o XalanSourceTreeComment.o XalanSourceTreeCommentAllocator.o XalanSourceTreeContentHandler.o XalanSourceTreeDOMSupport.o XalanSourceTreeDocument.o XalanSourceTreeDocumentAllocator.o XalanSourceTreeDocumentFragment.o XalanSourceTreeDocumentFragmentAllocator.o XalanSourceTreeElement.o XalanSourceTreeElementA.o XalanSourceTreeElementAAllocator.o XalanSourceTreeElementANS.o XalanSourceTreeElementANSAllocator.o XalanSourceTreeElementNA.o XalanSourceTreeElementNAAllocator.o XalanSourceTreeElementNANS.o XalanSourceTreeElementNANSAllocator.o XalanSourceTreeHelper.o XalanSourceTreeInit.o XalanSourceTreeParserLiaison.o XalanSourceTreeProcessingInstruction.o XalanSourceTreeProcessingInstruction Allocator.o XalanSourceTreeText.o XalanSourceTreeTextAllocator.o XalanSourceTreeTextIWS.o XalanSourceTreeTextIWSAllocator.o XalanSourceTreeWrapperParsedSource.o XalanSpaceNodeTester.o XalanStdOutputStream.o XalanText.o XalanToXercesTranscoderWrapper.o XalanTranscodingServices.o XalanTransformer.o XalanTransformerOutputStream.o XalanTransformerProblemListener.o XalanUTF16Transcoder.o XalanUTF16Writer.o XalanUTF8Writer.o XalanXMLChar.o XalanXMLFileReporter.o XalanXMLSerializerBase.o XalanXMLSerializerFactory.o XalanXPathException.o XercesAttGroupInfo.o XercesAttrBridge.o XercesAttrWrapper.o XercesAttrWrapperAllocator.o XercesAttributeBridgeAllocator.o XercesBridgeHelper.o XercesBridgeNavigator.o XercesCDATASectionBridge.o XercesCDATASectionWrapper.o XercesCommentBridge.o XercesCommentWrapper.o XercesDOMException.o XercesDOMFormatterWalker.o XercesDOMImplementationBridge.o XercesDOMImplementationWrapper.o XercesDOMParsedSource.o XercesDOMParser.o XercesDOMSupport.o XercesDOMWalker.o XercesDOMWrapperException .o XercesDOMWrapperParsedSource.o XercesDOM_NodeHack.o XercesDocumentBridge.o XercesDocumentFragmentBridge.o XercesDocumentTypeBridge.o XercesDocumentTypeWrapper.o XercesDocumentWrapper.o XercesElementBridge.o XercesElementBridgeAllocator.o XercesElementWildcard.o XercesElementWrapper.o XercesElementWrapperAllocator.o XercesEntityBridge.o XercesEntityReferenceBridge.o XercesEntityReferenceWrapper.o XercesEntityWrapper.o XercesGroupInfo.o XercesLiaisonXalanDOMStringPool.o XercesNamedNodeMapAttributeList.o XercesNamedNodeMapBridge.o XercesNamedNodeMapWrapper.o XercesNodeListBridge.o XercesNodeListWrapper.o XercesNotationBridge.o XercesNotationWrapper.o XercesParserLiaison.o XercesProcessingInstructionBridge.o XercesProcessingInstructionWrapper.o XercesTextBridge.o XercesTextBridgeAllocator.o XercesTextWrapper.o XercesTextWrapperAllocator.o XercesToXalanNodeMap.o XercesTreeWalker.o XercesWrapperHelper.o XercesWrapperNavigator.o XercesWrapperNavigatorAllocator.o XercesWrapperToXalanNodeMap.o XercesXPath.o Yea rDatatypeValidator.o YearMonthDatatypeValidator.o -o xalancbmk_s Stop make command: 2021-07-08 14:47:38 (1625770058.77891) Elapsed time for make command: 00:03:35 (215.03858423233) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 14:47:38 (1625770058.78191) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_LINUX" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 14:47:38 (1625770058.94483) Elapsed time for options command: 00:00:00 (0.162914037704468) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 14:47:38 (1625770058.94828) CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 14:47:39 (1625770059.14282) Elapsed time for compiler-version command: 00:00:00 (0.194545984268188) Compile for '623.xalancbmk_s' ended at: 2021-07-08 14:47:39 (1625770059) Elapsed compile for '623.xalancbmk_s': 00:03:36 (216) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_LINUX" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: 2b5f9d66689628955f9bee2990860b5460289487a4ab90d3816cd79d242beaa0) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: 673098fd88fb775727486b5e3a91b54c5c03dc8961365114b5196674d802752c) Building 625.x264_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:47:40] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/build/build_base_x86_64-m64.0000/Makefile.imagevalidate_625.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/build/build_base_x86_64-m64.0000/Makefile.ldecod_s.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/build/build_base_x86_64-m64.0000/Makefile.x264_s.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/build/build_base_x86_64-m64.0000/Makefile.imagevalidate_625.spec': TUNE=base LABEL=x86_64-m64 NUMBER=625 NAME=x264_s SOURCES= image_validator/ImageValidator.c EXEBASE=imagevalidate_625 NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = x264_s basepeak = 0 benchdir = benchspec benchmark = 625.x264_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = x264_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 1 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 lognum = 042.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = x264_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:38:58 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4701.205 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 625 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 --lognum 042.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/525.x264_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = imagevalidate_frame_100.out imagevalidate_frame_141.out imagevalidate_frame_50.out Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/build/build_base_x86_64-m64.0000/Makefile.ldecod_s.spec': TUNE=base LABEL=x86_64-m64 NUMBER=625 NAME=x264_s SOURCES= ldecod_src/nal.c ldecod_src/mbuffer_mvc.c ldecod_src/image.c \ ldecod_src/mb_access.c ldecod_src/memalloc.c ldecod_src/mc_prediction.c \ ldecod_src/mb_prediction.c ldecod_src/intra4x4_pred_mbaff.c \ ldecod_src/loop_filter_mbaff.c ldecod_src/context_ini.c \ ldecod_src/configfile.c ldecod_src/cabac.c ldecod_src/sei.c \ ldecod_src/leaky_bucket.c ldecod_src/filehandle.c \ ldecod_src/errorconcealment.c ldecod_src/decoder_test.c \ ldecod_src/img_process.c ldecod_src/mv_prediction.c ldecod_src/fmo.c \ ldecod_src/output.c ldecod_src/mc_direct.c ldecod_src/rtp.c \ ldecod_src/nalucommon.c ldecod_src/config_common.c \ ldecod_src/intra_chroma_pred.c ldecod_src/transform8x8.c \ ldecod_src/blk_prediction.c ldecod_src/intra8x8_pred_mbaff.c \ ldecod_src/erc_do_i.c ldecod_src/io_tiff.c ldecod_src/mbuffer.c \ ldecod_src/block.c ldecod_src/intra4x4_pred.c ldecod_src/transform.c \ ldecod_src/annexb.c ldecod_src/ldecod.c ldecod_src/macroblock.c \ ldecod_src/vlc.c ldecod_src/parset.c ldecod_src/loop_filter_normal.c \ ldecod_src/parsetcommon.c ldecod_src/erc_do_p.c ldecod_src/loopFilter.c \ ldecod_src/intra16x16_pred_mbaff.c ldecod_src/intra4x4_pred_normal.c \ ldecod_src/intra16x16_pred_normal.c ldecod_src/win32.c \ ldecod_src/intra16x16_pred.c ldecod_src/intra8x8_pred_normal.c \ ldecod_src/io_raw.c ldecod_src/img_io.c ldecod_src/nalu.c \ ldecod_src/quant.c ldecod_src/intra8x8_pred.c ldecod_src/erc_api.c \ ldecod_src/header.c ldecod_src/biaridecod.c ldecod_src/input.c EXEBASE=ldecod_s NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = x264_s basepeak = 0 benchdir = benchspec benchmark = 625.x264_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = x264_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 1 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 lognum = 042.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = x264_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:38:58 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4701.205 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 625 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 --lognum 042.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/525.x264_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = imagevalidate_frame_100.out imagevalidate_frame_141.out imagevalidate_frame_50.out Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/build/build_base_x86_64-m64.0000/Makefile.x264_s.spec': TUNE=base LABEL=x86_64-m64 NUMBER=625 NAME=x264_s SOURCES= x264_src/common/mc.c x264_src/common/predict.c \ x264_src/common/pixel.c x264_src/common/macroblock.c \ x264_src/common/frame.c x264_src/common/dct.c x264_src/common/cpu.c \ x264_src/common/cabac.c x264_src/common/common.c x264_src/common/mdate.c \ x264_src/common/rectangle.c x264_src/common/set.c \ x264_src/common/quant.c x264_src/common/deblock.c x264_src/common/vlc.c \ x264_src/common/mvpred.c x264_src/encoder/analyse.c \ x264_src/encoder/me.c x264_src/encoder/ratecontrol.c \ x264_src/encoder/set.c x264_src/encoder/macroblock.c \ x264_src/encoder/cabac.c x264_src/encoder/cavlc.c \ x264_src/encoder/encoder.c x264_src/encoder/lookahead.c \ x264_src/input/timecode.c x264_src/input/yuv.c x264_src/input/y4m.c \ x264_src/output/raw.c x264_src/output/matroska.c \ x264_src/output/matroska_ebml.c x264_src/output/flv.c \ x264_src/output/flv_bytestream.c x264_src/input/thread.c x264_src/x264.c \ x264_src/extras/getopt.c EXEBASE=x264_s NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = x264_s basepeak = 0 benchdir = benchspec benchmark = 625.x264_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = x264_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 1 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 lognum = 042.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = x264_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:38:58 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4701.205 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 625 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 --lognum 042.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/525.x264_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = imagevalidate_frame_100.out imagevalidate_frame_141.out imagevalidate_frame_50.out Compile for '625.x264_s' started at: 2021-07-08 14:47:40 (1625770060) Issuing make.clean.imagevalidate_625 command 'specmake --output-sync --jobs=1 clean TARGET=imagevalidate_625' Start make.clean.imagevalidate_625 command: 2021-07-08 14:47:40 (1625770060.78428) Stop make.clean.imagevalidate_625 command: 2021-07-08 14:47:40 (1625770060.94608) Elapsed time for make.clean.imagevalidate_625 command: 00:00:00 (0.161807060241699) Issuing make.clean.ldecod_s command 'specmake --output-sync --jobs=1 clean TARGET=ldecod_s' Start make.clean.ldecod_s command: 2021-07-08 14:47:40 (1625770060.94901) Stop make.clean.ldecod_s command: 2021-07-08 14:47:41 (1625770061.11781) Elapsed time for make.clean.ldecod_s command: 00:00:00 (0.168797969818115) Issuing make.clean.x264_s command 'specmake --output-sync --jobs=1 clean TARGET=x264_s' Start make.clean.x264_s command: 2021-07-08 14:47:41 (1625770061.12071) Stop make.clean.x264_s command: 2021-07-08 14:47:41 (1625770061.30598) Elapsed time for make.clean.x264_s command: 00:00:00 (0.185268878936768) Issuing make.imagevalidate_625 command 'specmake --output-sync --jobs=1 build TARGET=imagevalidate_625' Start make.imagevalidate_625 command: 2021-07-08 14:47:41 (1625770061.30935) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o image_validator/ImageValidator.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 image_validator/ImageValidator.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing image_validator/ImageValidator.o -lm -o imagevalidate_625 Stop make.imagevalidate_625 command: 2021-07-08 14:47:41 (1625770061.74142) Elapsed time for make.imagevalidate_625 command: 00:00:00 (0.432065010070801) Issuing options.imagevalidate_625 command 'specmake --output-sync --jobs=1 options TARGET=imagevalidate_625' Start options.imagevalidate_625 command: 2021-07-08 14:47:41 (1625770061.74432) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options.imagevalidate_625 command: 2021-07-08 14:47:41 (1625770061.91078) Elapsed time for options.imagevalidate_625 command: 00:00:00 (0.166463136672974) Issuing compiler-version.imagevalidate_625 command 'specmake --output-sync --jobs=1 compiler-version TARGET=imagevalidate_625' Start compiler-version.imagevalidate_625 command: 2021-07-08 14:47:41 (1625770061.91418) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.imagevalidate_625 command: 2021-07-08 14:47:42 (1625770062.07615) Elapsed time for compiler-version.imagevalidate_625 command: 00:00:00 (0.161968946456909) Issuing make.ldecod_s command 'specmake --output-sync --jobs=1 build TARGET=ldecod_s' Start make.ldecod_s command: 2021-07-08 14:47:42 (1625770062.0796) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/nal.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/nal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mbuffer_mvc.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mbuffer_mvc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/image.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/image.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mb_access.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mb_access.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/memalloc.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/memalloc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mc_prediction.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mc_prediction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mb_prediction.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mb_prediction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra4x4_pred_mbaff.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra4x4_pred_mbaff.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/loop_filter_mbaff.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/loop_filter_mbaff.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/context_ini.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/context_ini.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/configfile.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/configfile.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/cabac.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/cabac.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/sei.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/sei.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/leaky_bucket.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/leaky_bucket.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/filehandle.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/filehandle.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/errorconcealment.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/errorconcealment.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/decoder_test.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/decoder_test.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/img_process.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/img_process.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mv_prediction.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mv_prediction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/fmo.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/fmo.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/output.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/output.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mc_direct.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mc_direct.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/rtp.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/rtp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/nalucommon.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/nalucommon.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/config_common.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/config_common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra_chroma_pred.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra_chroma_pred.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/transform8x8.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/transform8x8.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/blk_prediction.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/blk_prediction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra8x8_pred_mbaff.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra8x8_pred_mbaff.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/erc_do_i.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/erc_do_i.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/io_tiff.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/io_tiff.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mbuffer.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mbuffer.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/block.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/block.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra4x4_pred.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra4x4_pred.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/transform.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/transform.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/annexb.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/annexb.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/ldecod.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/ldecod.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/macroblock.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/macroblock.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/vlc.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/vlc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/parset.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/parset.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/loop_filter_normal.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/loop_filter_normal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/parsetcommon.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/parsetcommon.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/erc_do_p.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/erc_do_p.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/loopFilter.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/loopFilter.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra16x16_pred_mbaff.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra16x16_pred_mbaff.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra4x4_pred_normal.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra4x4_pred_normal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra16x16_pred_normal.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra16x16_pred_normal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/win32.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/win32.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra16x16_pred.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra16x16_pred.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra8x8_pred_normal.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra8x8_pred_normal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/io_raw.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/io_raw.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/img_io.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/img_io.c ldecod_src/img_io.c: In function ‘OpenFrameFile’: ldecod_src/img_io.c:241:3: warning: ‘strncat’ specified bound depends on the length of the source argument [-Wstringop-overflow=] 241 | strncat(infile, input_file->ftail, strlen(input_file->ftail)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/nalu.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/nalu.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/quant.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/quant.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra8x8_pred.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra8x8_pred.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/erc_api.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/erc_api.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/header.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/header.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/biaridecod.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/biaridecod.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/input.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/input.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing ldecod_src/nal.o ldecod_src/mbuffer_mvc.o ldecod_src/image.o ldecod_src/mb_access.o ldecod_src/memalloc.o ldecod_src/mc_prediction.o ldecod_src/mb_prediction.o ldecod_src/intra4x4_pred_mbaff.o ldecod_src/loop_filter_mbaff.o ldecod_src/context_ini.o ldecod_src/configfile.o ldecod_src/cabac.o ldecod_src/sei.o ldecod_src/leaky_bucket.o ldecod_src/filehandle.o ldecod_src/errorconcealment.o ldecod_src/decoder_test.o ldecod_src/img_process.o ldecod_src/mv_prediction.o ldecod_src/fmo.o ldecod_src/output.o ldecod_src/mc_direct.o ldecod_src/rtp.o ldecod_src/nalucommon.o ldecod_src/config_common.o ldecod_src/intra_chroma_pred.o ldecod_src/transform8x8.o ldecod_src/blk_prediction.o ldecod_src/intra8x8_pred_mbaff.o ldecod_src/erc_do_i.o ldecod_src/io_tiff.o ldecod_src/mbuffer.o ldecod_src/block.o ldecod_src/intra4x4_pred.o ldecod_src/transf orm.o ldecod_src/annexb.o ldecod_src/ldecod.o ldecod_src/macroblock.o ldecod_src/vlc.o ldecod_src/parset.o ldecod_src/loop_filter_normal.o ldecod_src/parsetcommon.o ldecod_src/erc_do_p.o ldecod_src/loopFilter.o ldecod_src/intra16x16_pred_mbaff.o ldecod_src/intra4x4_pred_normal.o ldecod_src/intra16x16_pred_normal.o ldecod_src/win32.o ldecod_src/intra16x16_pred.o ldecod_src/intra8x8_pred_normal.o ldecod_src/io_raw.o ldecod_src/img_io.o ldecod_src/nalu.o ldecod_src/quant.o ldecod_src/intra8x8_pred.o ldecod_src/erc_api.o ldecod_src/header.o ldecod_src/biaridecod.o ldecod_src/input.o -lm -o ldecod_s Stop make.ldecod_s command: 2021-07-08 14:47:51 (1625770071.17508) Elapsed time for make.ldecod_s command: 00:00:09 (9.09547805786133) Issuing options.ldecod_s command 'specmake --output-sync --jobs=1 options TARGET=ldecod_s' Start options.ldecod_s command: 2021-07-08 14:47:51 (1625770071.17804) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options.ldecod_s command: 2021-07-08 14:47:51 (1625770071.35632) Elapsed time for options.ldecod_s command: 00:00:00 (0.178285121917725) Issuing compiler-version.ldecod_s command 'specmake --output-sync --jobs=1 compiler-version TARGET=ldecod_s' Start compiler-version.ldecod_s command: 2021-07-08 14:47:51 (1625770071.35967) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.ldecod_s command: 2021-07-08 14:47:51 (1625770071.52158) Elapsed time for compiler-version.ldecod_s command: 00:00:00 (0.161910057067871) Issuing make.x264_s command 'specmake --output-sync --jobs=1 build TARGET=x264_s' Start make.x264_s command: 2021-07-08 14:47:51 (1625770071.52508) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/mc.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/mc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/predict.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/predict.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/pixel.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/pixel.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/macroblock.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/macroblock.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/frame.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/frame.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/dct.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/dct.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/cpu.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/cpu.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/cabac.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/cabac.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/common.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/mdate.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/mdate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/rectangle.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/rectangle.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/set.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/set.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/quant.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/quant.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/deblock.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/deblock.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/vlc.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/vlc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/mvpred.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/mvpred.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/analyse.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/analyse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/me.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/me.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/ratecontrol.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/ratecontrol.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/set.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/set.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/macroblock.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/macroblock.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/cabac.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/cabac.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/cavlc.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/cavlc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/encoder.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/lookahead.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/lookahead.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/input/timecode.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/input/timecode.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/input/yuv.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/input/yuv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/input/y4m.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/input/y4m.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/output/raw.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/output/raw.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/output/matroska.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/output/matroska.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/output/matroska_ebml.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/output/matroska_ebml.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/output/flv.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/output/flv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/output/flv_bytestream.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/output/flv_bytestream.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/input/thread.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/input/thread.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/x264.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/x264.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/extras/getopt.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/extras/getopt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing x264_src/common/mc.o x264_src/common/predict.o x264_src/common/pixel.o x264_src/common/macroblock.o x264_src/common/frame.o x264_src/common/dct.o x264_src/common/cpu.o x264_src/common/cabac.o x264_src/common/common.o x264_src/common/mdate.o x264_src/common/rectangle.o x264_src/common/set.o x264_src/common/quant.o x264_src/common/deblock.o x264_src/common/vlc.o x264_src/common/mvpred.o x264_src/encoder/analyse.o x264_src/encoder/me.o x264_src/encoder/ratecontrol.o x264_src/encoder/set.o x264_src/encoder/macroblock.o x264_src/encoder/cabac.o x264_src/encoder/cavlc.o x264_src/encoder/encoder.o x264_src/encoder/lookahead.o x264_src/input/timecode.o x264_src/input/yuv.o x264_src/input/y4m.o x264_src/output/raw.o x264_src/output/matroska.o x264_src/output/matroska_ebml.o x264_src/output/flv.o x264_src/output/flv_bytestream.o x264_src/ input/thread.o x264_src/x264.o x264_src/extras/getopt.o -lm -o x264_s Stop make.x264_s command: 2021-07-08 14:48:00 (1625770080.4506) Elapsed time for make.x264_s command: 00:00:08 (8.92551517486572) Issuing options.x264_s command 'specmake --output-sync --jobs=1 options TARGET=x264_s' Start options.x264_s command: 2021-07-08 14:48:00 (1625770080.45355) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options.x264_s command: 2021-07-08 14:48:00 (1625770080.62022) Elapsed time for options.x264_s command: 00:00:00 (0.166669130325317) Issuing compiler-version.x264_s command 'specmake --output-sync --jobs=1 compiler-version TARGET=x264_s' Start compiler-version.x264_s command: 2021-07-08 14:48:00 (1625770080.62361) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.x264_s command: 2021-07-08 14:48:00 (1625770080.79173) Elapsed time for compiler-version.x264_s command: 00:00:00 (0.168120861053467) Compile for '625.x264_s' ended at: 2021-07-08 14:48:00 (1625770080) Elapsed compile for '625.x264_s': 00:00:20 (20) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: cef75ab40dfb92b4edb67974b949b9d4bc9437af8b1a57cc77df7742bd0f3719) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DBIG_MEMORY" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: e960ba0661a75bbe332dbdaa39ab176bfd4915ac28b8d1bba4617c1ea7fe7def) Building 631.deepsjeng_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:48:02] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=631 NAME=deepsjeng_s SOURCES= attacks.cpp bitboard.cpp bits.cpp board.cpp draw.cpp endgame.cpp \ epd.cpp generate.cpp initp.cpp make.cpp moves.cpp neval.cpp pawn.cpp \ preproc.cpp search.cpp see.cpp sjeng.cpp state.cpp ttable.cpp utils.cpp EXEBASE=deepsjeng_s NEED_MATH= BENCHLANG=CXX BENCH_FLAGS = -DBIG_MEMORY CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = deepsjeng_s basepeak = 0 benchdir = benchspec benchmark = 631.deepsjeng_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = deepsjeng_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 lognum = 042.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = deepsjeng_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:38:58 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4701.205 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 631 obiwan = 1 oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 --lognum 042.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/531.deepsjeng_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = train.out Compile for '631.deepsjeng_s' started at: 2021-07-08 14:48:02 (1625770082) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 14:48:02 (1625770082.33113) rm -rf *.o train.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf deepsjeng_s rm -rf deepsjeng_s.exe rm -rf core Stop make.clean command: 2021-07-08 14:48:02 (1625770082.49341) Elapsed time for make.clean command: 00:00:00 (0.162277936935425) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 14:48:02 (1625770082.49636) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o attacks.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 attacks.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o bitboard.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 bitboard.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o bits.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 bits.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o board.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 board.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o draw.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 draw.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o endgame.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 endgame.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o epd.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 epd.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o generate.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 generate.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o initp.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 initp.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o make.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 make.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o moves.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 moves.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o neval.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 neval.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o pawn.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 pawn.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o preproc.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 preproc.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o search.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 search.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o see.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 see.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o sjeng.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 sjeng.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o state.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 state.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ttable.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ttable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o utils.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 utils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP attacks.o bitboard.o bits.o board.o draw.o endgame.o epd.o generate.o initp.o make.o moves.o neval.o pawn.o preproc.o search.o see.o sjeng.o state.o ttable.o utils.o -o deepsjeng_s Stop make command: 2021-07-08 14:48:05 (1625770085.13825) Elapsed time for make command: 00:00:02 (2.64188599586487) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 14:48:05 (1625770085.14118) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DBIG_MEMORY" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 14:48:05 (1625770085.30776) Elapsed time for options command: 00:00:00 (0.166581153869629) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 14:48:05 (1625770085.31115) CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 14:48:05 (1625770085.4731) Elapsed time for compiler-version command: 00:00:00 (0.161946058273315) Compile for '631.deepsjeng_s' ended at: 2021-07-08 14:48:05 (1625770085) Elapsed compile for '631.deepsjeng_s': 00:00:03 (3) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DBIG_MEMORY" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: b7b8ff140b69059067f5c6f9252a3beff2ead984265a364c7724ef6c4f61edd5) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CXXFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: a8e6f896b0058e666c4e0957cca699cb87cacf38dee2b2425f8fe896b92b01e1) Building 641.leela_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:48:06] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=641 NAME=leela_s SOURCES= FullBoard.cpp KoState.cpp Playout.cpp TimeControl.cpp \ UCTSearch.cpp GameState.cpp Leela.cpp SGFParser.cpp Timing.cpp Utils.cpp \ FastBoard.cpp Matcher.cpp SGFTree.cpp TTable.cpp Zobrist.cpp \ FastState.cpp GTP.cpp MCOTable.cpp Random.cpp SMP.cpp UCTNode.cpp EXEBASE=leela_s NEED_MATH= BENCHLANG=CXX BENCH_FLAGS = -I. CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = leela_s basepeak = 0 benchdir = benchspec benchmark = 641.leela_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = leela_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 lognum = 042.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = leela_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:38:58 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4701.205 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 641 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 --lognum 042.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/541.leela_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = train.out Compile for '641.leela_s' started at: 2021-07-08 14:48:06 (1625770086) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 14:48:06 (1625770086.41781) rm -rf *.o train.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf leela_s rm -rf leela_s.exe rm -rf core Stop make.clean command: 2021-07-08 14:48:06 (1625770086.57717) Elapsed time for make.clean command: 00:00:00 (0.159356117248535) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 14:48:06 (1625770086.57797) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FullBoard.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FullBoard.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o KoState.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 KoState.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Playout.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Playout.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TimeControl.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TimeControl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o UCTSearch.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 UCTSearch.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GameState.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GameState.cpp GameState.cpp: In member function ‘void GameState::place_free_handicap(int)’: GameState.cpp:265:14: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 265 | std::auto_ptr search(new UCTSearch(*this)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from UCTSearch.h:4, from GameState.cpp:11: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Leela.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Leela.cpp Leela.cpp: In function ‘int main(int, char**)’: Leela.cpp:46:10: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 46 | std::auto_ptr rng(new Random(5489UL)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from Leela.cpp:2: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ Leela.cpp:51:10: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 51 | std::auto_ptr maingame(new GameState); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from Leela.cpp:2: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ Leela.cpp:57:18: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 57 | std::auto_ptr sgftree(new SGFTree); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from Leela.cpp:2: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ Leela.cpp:64:22: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 64 | std::auto_ptr search(new UCTSearch(*maingame)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from Leela.cpp:2: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SGFParser.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SGFParser.cpp SGFParser.cpp: In static member function ‘static void SGFParser::parse(std::istringstream&, SGFTree*)’: SGFParser.cpp:161:18: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 161 | std::auto_ptr newnode(new SGFTree); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/locale_conv.h:41, from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/locale:43, from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/iomanip:43, from SGFParser.cpp:4: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ SGFParser.cpp:178:18: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 178 | std::auto_ptr newnode(new SGFTree); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/locale_conv.h:41, from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/locale:43, from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/iomanip:43, from SGFParser.cpp:4: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Timing.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Timing.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Utils.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Utils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FastBoard.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FastBoard.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Matcher.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Matcher.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SGFTree.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SGFTree.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TTable.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TTable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Zobrist.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Zobrist.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FastState.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FastState.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GTP.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GTP.cpp GTP.cpp: In static member function ‘static bool GTP::execute(GameState&, std::string)’: GTP.cpp:250:22: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 250 | std::auto_ptr search(new UCTSearch(game)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from UCTSearch.h:4, from GTP.cpp:13: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ GTP.cpp:290:18: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 290 | std::auto_ptr search(new UCTSearch(game)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from UCTSearch.h:4, from GTP.cpp:13: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ GTP.cpp:399:18: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 399 | std::auto_ptr search(new UCTSearch(game)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from UCTSearch.h:4, from GTP.cpp:13: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ GTP.cpp:412:14: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 412 | std::auto_ptr search(new UCTSearch(game)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from UCTSearch.h:4, from GTP.cpp:13: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ GTP.cpp:491:14: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 491 | std::auto_ptr sgftree(new SGFTree); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from UCTSearch.h:4, from GTP.cpp:13: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MCOTable.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MCOTable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Random.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Random.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SMP.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SMP.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o UCTNode.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 UCTNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP FullBoard.o KoState.o Playout.o TimeControl.o UCTSearch.o GameState.o Leela.o SGFParser.o Timing.o Utils.o FastBoard.o Matcher.o SGFTree.o TTable.o Zobrist.o FastState.o GTP.o MCOTable.o Random.o SMP.o UCTNode.o -o leela_s Stop make command: 2021-07-08 14:48:16 (1625770096.68992) Elapsed time for make command: 00:00:10 (10.111958026886) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 14:48:16 (1625770096.69285) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CXXFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 14:48:16 (1625770096.85344) Elapsed time for options command: 00:00:00 (0.160595893859863) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 14:48:16 (1625770096.85668) CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 14:48:17 (1625770097.0384) Elapsed time for compiler-version command: 00:00:00 (0.181720972061157) Compile for '641.leela_s' ended at: 2021-07-08 14:48:17 (1625770097) Elapsed compile for '641.leela_s': 00:00:11 (11) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CXXFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: d51a892b0fea593db25ddf2448a89d59ca64afac7985da1507e04444be38116c) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: ef31b9a8441adb35c2eedbd4e894ee92087f06c3f85d457adb411dd47fa57a41) Building 648.exchange2_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:48:17] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=648 NAME=exchange2_s SOURCES= exchange2.F90 EXEBASE=exchange2_s NEED_MATH= BENCHLANG=F CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = exchange2_s basepeak = 0 benchdir = benchspec benchmark = 648.exchange2_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = exchange2_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 lognum = 042.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = exchange2_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:38:58 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4701.205 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 648 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 --lognum 042.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/548.exchange2_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = s.txt Compile for '648.exchange2_s' started at: 2021-07-08 14:48:17 (1625770097) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 14:48:17 (1625770097.84868) rm -rf *.o s.txt find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf exchange2_s rm -rf exchange2_s.exe rm -rf core Stop make.clean command: 2021-07-08 14:48:18 (1625770098.01188) Elapsed time for make.clean command: 00:00:00 (0.163195133209229) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 14:48:18 (1625770098.01481) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 exchange2.F90 -o exchange2.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o exchange2.fppized.o -O2 -mtune=generic -fopenmp exchange2.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP exchange2.fppized.o -o exchange2_s Stop make command: 2021-07-08 14:48:20 (1625770100.38105) Elapsed time for make command: 00:00:02 (2.36624097824097) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 14:48:20 (1625770100.38386) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 14:48:20 (1625770100.54435) Elapsed time for options command: 00:00:00 (0.160496950149536) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 14:48:20 (1625770100.54761) FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 14:48:20 (1625770100.71566) Elapsed time for compiler-version command: 00:00:00 (0.168054103851318) Compile for '648.exchange2_s' ended at: 2021-07-08 14:48:20 (1625770100) Elapsed compile for '648.exchange2_s': 00:00:03 (3) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: 6ded6b3fa305c0cd1691be0660a95ea8a3711afa1cb5501bfd2c6b8f4ce2f5d6) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: 29432e225576256fc9ccddeac42d9254f24a5b591b13ec0ac562935131afccb6) Building 657.xz_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:48:21] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename common/tuklib_cpucores.c)): common/tuklib_cpucores.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_cpucores.h config.h $(addsuffix $(OBJ), $(basename common/tuklib_exit.c)): common/tuklib_exit.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_progname.h config.h $(addsuffix $(OBJ), $(basename common/tuklib_mbstr_fw.c)): common/tuklib_mbstr_fw.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_mbstr.h config.h $(addsuffix $(OBJ), $(basename common/tuklib_mbstr_width.c)): common/tuklib_mbstr_width.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_mbstr.h config.h $(addsuffix $(OBJ), $(basename common/tuklib_open_stdxxx.c)): common/tuklib_open_stdxxx.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_open_stdxxx.h config.h $(addsuffix $(OBJ), $(basename common/tuklib_physmem.c)): common/tuklib_physmem.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_physmem.h config.h $(addsuffix $(OBJ), $(basename common/tuklib_progname.c)): common/tuklib_progname.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_progname.h config.h $(addsuffix $(OBJ), $(basename liblzma/check/check.c)): liblzma/check/check.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/crc32_fast.c)): liblzma/check/crc32_fast.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/check/crc_macros.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/crc32_small.c)): liblzma/check/crc32_small.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/crc32_table.c)): liblzma/check/crc32_table.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/crc32_table_le.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/crc64_fast.c)): liblzma/check/crc64_fast.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/check/crc_macros.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/crc64_small.c)): liblzma/check/crc64_small.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/crc64_table.c)): liblzma/check/crc64_table.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/crc64_table_le.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/sha256.c)): liblzma/check/sha256.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/alone_decoder.c)): liblzma/common/alone_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/alone_decoder.h liblzma/common/common.h liblzma/lz/lz_decoder.h liblzma/lzma/lzma_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/alone_encoder.c)): liblzma/common/alone_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lzma/lzma_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/auto_decoder.c)): liblzma/common/auto_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/alone_decoder.h liblzma/common/common.h liblzma/common/stream_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/block_buffer_decoder.c)): liblzma/common/block_buffer_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/block_decoder.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/block_buffer_encoder.c)): liblzma/common/block_buffer_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/block_encoder.h liblzma/common/common.h liblzma/common/filter_encoder.h liblzma/lzma/lzma2_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/block_decoder.c)): liblzma/common/block_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/block_decoder.h liblzma/common/common.h liblzma/common/filter_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/block_encoder.c)): liblzma/common/block_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/block_encoder.h liblzma/common/common.h liblzma/common/filter_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/block_header_decoder.c)): liblzma/common/block_header_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/block_header_encoder.c)): liblzma/common/block_header_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/block_util.c)): liblzma/common/block_util.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/index.h $(addsuffix $(OBJ), $(basename liblzma/common/common.c)): liblzma/common/common.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/easy_buffer_encoder.c)): liblzma/common/easy_buffer_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/easy_preset.h $(addsuffix $(OBJ), $(basename liblzma/common/easy_decoder_memusage.c)): liblzma/common/easy_decoder_memusage.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/easy_preset.h $(addsuffix $(OBJ), $(basename liblzma/common/easy_encoder.c)): liblzma/common/easy_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/easy_preset.h liblzma/common/stream_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/easy_encoder_memusage.c)): liblzma/common/easy_encoder_memusage.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/easy_preset.h $(addsuffix $(OBJ), $(basename liblzma/common/easy_preset.c)): liblzma/common/easy_preset.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/easy_preset.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_buffer_decoder.c)): liblzma/common/filter_buffer_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_buffer_encoder.c)): liblzma/common/filter_buffer_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_common.c)): liblzma/common/filter_common.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_common.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_decoder.c)): liblzma/common/filter_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_common.h liblzma/common/filter_decoder.h liblzma/delta/delta_common.h liblzma/delta/delta_decoder.h liblzma/lzma/lzma2_decoder.h liblzma/lzma/lzma_decoder.h liblzma/simple/simple_coder.h liblzma/simple/simple_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_encoder.c)): liblzma/common/filter_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_common.h liblzma/common/filter_encoder.h liblzma/delta/delta_common.h liblzma/delta/delta_encoder.h liblzma/lzma/lzma2_encoder.h liblzma/lzma/lzma_encoder.h liblzma/simple/simple_coder.h liblzma/simple/simple_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_flags_decoder.c)): liblzma/common/filter_flags_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_flags_encoder.c)): liblzma/common/filter_flags_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/hardware_physmem.c)): liblzma/common/hardware_physmem.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h common/tuklib_physmem.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/index.c)): liblzma/common/index.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/index.h liblzma/common/stream_flags_common.h $(addsuffix $(OBJ), $(basename liblzma/common/index_decoder.c)): liblzma/common/index_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h liblzma/common/index.h $(addsuffix $(OBJ), $(basename liblzma/common/index_encoder.c)): liblzma/common/index_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h liblzma/common/index.h liblzma/common/index_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/index_hash.c)): liblzma/common/index_hash.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h liblzma/common/index.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_buffer_decoder.c)): liblzma/common/stream_buffer_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/stream_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_buffer_encoder.c)): liblzma/common/stream_buffer_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/index.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_decoder.c)): liblzma/common/stream_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/block_decoder.h liblzma/common/common.h liblzma/common/stream_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_encoder.c)): liblzma/common/stream_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/block_encoder.h liblzma/common/common.h liblzma/common/index_encoder.h liblzma/common/stream_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_flags_common.c)): liblzma/common/stream_flags_common.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/stream_flags_common.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_flags_decoder.c)): liblzma/common/stream_flags_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/stream_flags_common.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_flags_encoder.c)): liblzma/common/stream_flags_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/stream_flags_common.h $(addsuffix $(OBJ), $(basename liblzma/common/vli_decoder.c)): liblzma/common/vli_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/vli_encoder.c)): liblzma/common/vli_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/vli_size.c)): liblzma/common/vli_size.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/delta/delta_common.c)): liblzma/delta/delta_common.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/delta/delta_common.h liblzma/delta/delta_private.h $(addsuffix $(OBJ), $(basename liblzma/delta/delta_decoder.c)): liblzma/delta/delta_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/delta/delta_common.h liblzma/delta/delta_decoder.h liblzma/delta/delta_private.h $(addsuffix $(OBJ), $(basename liblzma/delta/delta_encoder.c)): liblzma/delta/delta_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/delta/delta_common.h liblzma/delta/delta_encoder.h liblzma/delta/delta_private.h $(addsuffix $(OBJ), $(basename liblzma/lz/lz_decoder.c)): liblzma/lz/lz_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_decoder.h $(addsuffix $(OBJ), $(basename liblzma/lz/lz_encoder.c)): liblzma/lz/lz_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h liblzma/lz/lz_encoder.h liblzma/lz/lz_encoder_hash.h $(addsuffix $(OBJ), $(basename liblzma/lz/lz_encoder_mf.c)): liblzma/lz/lz_encoder_mf.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h liblzma/lz/lz_encoder.h liblzma/lz/lz_encoder_hash.h $(addsuffix $(OBJ), $(basename liblzma/lzma/fastpos_table.c)): liblzma/lzma/fastpos_table.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lzma/fastpos.h $(addsuffix $(OBJ), $(basename liblzma/lzma/fastpos_tablegen.c)): liblzma/lzma/fastpos_tablegen.c liblzma/lzma/fastpos.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma2_decoder.c)): liblzma/lzma/lzma2_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_decoder.h liblzma/lzma/lzma2_decoder.h liblzma/lzma/lzma_decoder.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma2_encoder.c)): liblzma/lzma/lzma2_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_encoder.h liblzma/lzma/fastpos.h liblzma/lzma/lzma2_encoder.h liblzma/lzma/lzma_encoder.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma_decoder.c)): liblzma/lzma/lzma_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_decoder.h liblzma/lzma/lzma_common.h liblzma/lzma/lzma_decoder.h liblzma/rangecoder/range_common.h liblzma/rangecoder/range_decoder.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma_encoder.c)): liblzma/lzma/lzma_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_encoder.h liblzma/lzma/fastpos.h liblzma/lzma/lzma2_encoder.h liblzma/lzma/lzma_common.h liblzma/lzma/lzma_encoder.h liblzma/lzma/lzma_encoder_private.h liblzma/rangecoder/price.h liblzma/rangecoder/range_common.h liblzma/rangecoder/range_encoder.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma_encoder_optimum_fast.c)): liblzma/lzma/lzma_encoder_optimum_fast.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_encoder.h liblzma/lzma/lzma_common.h liblzma/lzma/lzma_encoder.h liblzma/lzma/lzma_encoder_private.h liblzma/rangecoder/price.h liblzma/rangecoder/range_common.h liblzma/rangecoder/range_encoder.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma_encoder_optimum_normal.c)): liblzma/lzma/lzma_encoder_optimum_normal.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_encoder.h liblzma/lzma/fastpos.h liblzma/lzma/lzma_common.h liblzma/lzma/lzma_encoder.h liblzma/lzma/lzma_encoder_private.h liblzma/rangecoder/price.h liblzma/rangecoder/range_common.h liblzma/rangecoder/range_encoder.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma_encoder_presets.c)): liblzma/lzma/lzma_encoder_presets.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/rangecoder/price_table.c)): liblzma/rangecoder/price_table.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/rangecoder/price.h liblzma/rangecoder/range_common.h liblzma/rangecoder/range_encoder.h $(addsuffix $(OBJ), $(basename liblzma/rangecoder/price_tablegen.c)): liblzma/rangecoder/price_tablegen.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/rangecoder/price.h liblzma/rangecoder/range_common.h $(addsuffix $(OBJ), $(basename liblzma/simple/arm.c)): liblzma/simple/arm.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename liblzma/simple/armthumb.c)): liblzma/simple/armthumb.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename liblzma/simple/ia64.c)): liblzma/simple/ia64.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename liblzma/simple/powerpc.c)): liblzma/simple/powerpc.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename liblzma/simple/simple_coder.c)): liblzma/simple/simple_coder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename liblzma/simple/simple_decoder.c)): liblzma/simple/simple_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_decoder.h $(addsuffix $(OBJ), $(basename liblzma/simple/simple_encoder.c)): liblzma/simple/simple_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_encoder.h $(addsuffix $(OBJ), $(basename liblzma/simple/sparc.c)): liblzma/simple/sparc.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename liblzma/simple/x86.c)): liblzma/simple/x86.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename pxz.c)): pxz.c liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h $(addsuffix $(OBJ), $(basename sha-2/sha512.c)): sha-2/sha512.c sha-2/sha512.h $(addsuffix $(OBJ), $(basename spec.c)): spec.c liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h $(addsuffix $(OBJ), $(basename spec_mem_io/spec_mem_io.c)): spec_mem_io/spec_mem_io.c liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h sha-2/sha512.h spec_mem_io/spec_mem_io.h $(addsuffix $(OBJ), $(basename spec_xz.c)): spec_xz.c liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h $(addsuffix $(OBJ), $(basename xz/args.c)): xz/args.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/coder.c)): xz/coder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/file_io.c)): xz/file_io.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_open_stdxxx.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/hardware.c)): xz/hardware.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_cpucores.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/list.c)): xz/list.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_integer.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/main.c)): xz/main.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/message.c)): xz/message.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/options.c)): xz/options.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/util.c)): xz/util.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=657 NAME=xz_s SOURCES= spec.c spec_xz.c pxz.c common/tuklib_physmem.c \ liblzma/common/common.c liblzma/common/block_util.c \ liblzma/common/easy_preset.c liblzma/common/filter_common.c \ liblzma/common/hardware_physmem.c liblzma/common/index.c \ liblzma/common/stream_flags_common.c liblzma/common/vli_size.c \ liblzma/common/alone_encoder.c liblzma/common/block_buffer_encoder.c \ liblzma/common/block_encoder.c liblzma/common/block_header_encoder.c \ liblzma/common/easy_buffer_encoder.c liblzma/common/easy_encoder.c \ liblzma/common/easy_encoder_memusage.c \ liblzma/common/filter_buffer_encoder.c liblzma/common/filter_encoder.c \ liblzma/common/filter_flags_encoder.c liblzma/common/index_encoder.c \ liblzma/common/stream_buffer_encoder.c liblzma/common/stream_encoder.c \ liblzma/common/stream_flags_encoder.c liblzma/common/vli_encoder.c \ liblzma/common/alone_decoder.c liblzma/common/auto_decoder.c \ liblzma/common/block_buffer_decoder.c liblzma/common/block_decoder.c \ liblzma/common/block_header_decoder.c \ liblzma/common/easy_decoder_memusage.c \ liblzma/common/filter_buffer_decoder.c liblzma/common/filter_decoder.c \ liblzma/common/filter_flags_decoder.c liblzma/common/index_decoder.c \ liblzma/common/index_hash.c liblzma/common/stream_buffer_decoder.c \ liblzma/common/stream_decoder.c liblzma/common/stream_flags_decoder.c \ liblzma/common/vli_decoder.c liblzma/check/check.c \ liblzma/check/crc32_table.c liblzma/check/crc32_fast.c \ liblzma/check/crc64_table.c liblzma/check/crc64_fast.c \ liblzma/check/sha256.c liblzma/lz/lz_encoder.c \ liblzma/lz/lz_encoder_mf.c liblzma/lz/lz_decoder.c \ liblzma/lzma/lzma_encoder.c liblzma/lzma/lzma_encoder_presets.c \ liblzma/lzma/lzma_encoder_optimum_fast.c \ liblzma/lzma/lzma_encoder_optimum_normal.c liblzma/lzma/fastpos_table.c \ liblzma/lzma/lzma_decoder.c liblzma/lzma/lzma2_encoder.c \ liblzma/lzma/lzma2_decoder.c liblzma/rangecoder/price_table.c \ liblzma/delta/delta_common.c liblzma/delta/delta_encoder.c \ liblzma/delta/delta_decoder.c liblzma/simple/simple_coder.c \ liblzma/simple/simple_encoder.c liblzma/simple/simple_decoder.c \ liblzma/simple/x86.c liblzma/simple/powerpc.c liblzma/simple/ia64.c \ liblzma/simple/arm.c liblzma/simple/armthumb.c liblzma/simple/sparc.c \ xz/args.c xz/coder.c xz/file_io.c xz/hardware.c xz/list.c xz/main.c \ xz/message.c xz/options.c xz/signals.c xz/util.c \ common/tuklib_open_stdxxx.c common/tuklib_progname.c \ common/tuklib_exit.c common/tuklib_cpucores.c \ common/tuklib_mbstr_width.c common/tuklib_mbstr_fw.c \ spec_mem_io/spec_mem_io.c sha-2/sha512.c EXEBASE=xz_s NEED_MATH= BENCHLANG=C BENCH_FLAGS = -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = xz_s basepeak = 0 benchdir = benchspec benchmark = 657.xz_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = xz_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 lognum = 042.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = xz_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:38:58 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4701.205 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 657 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 --lognum 042.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/557.xz_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.906000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = IMG_2560.cr2-40-4.out input.combined-40-8.out Compile for '657.xz_s' started at: 2021-07-08 14:48:21 (1625770101) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 14:48:21 (1625770101.6153) rm -rf *.o IMG_2560.cr2-40-4.out input.combined-40-8.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf xz_s rm -rf xz_s.exe rm -rf core Stop make.clean command: 2021-07-08 14:48:21 (1625770101.77816) Elapsed time for make.clean command: 00:00:00 (0.162853002548218) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 14:48:21 (1625770101.78123) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spec.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 spec.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spec_xz.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 spec_xz.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pxz.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pxz.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_physmem.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_physmem.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/common.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_util.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_util.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/easy_preset.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/easy_preset.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_common.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/hardware_physmem.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/hardware_physmem.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/index.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/index.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_flags_common.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_flags_common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/vli_size.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/vli_size.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/alone_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/alone_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_buffer_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_buffer_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_header_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_header_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/easy_buffer_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/easy_buffer_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/easy_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/easy_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/easy_encoder_memusage.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/easy_encoder_memusage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_buffer_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_buffer_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_flags_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_flags_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/index_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/index_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_buffer_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_buffer_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_flags_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_flags_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/vli_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/vli_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/alone_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/alone_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/auto_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/auto_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_buffer_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_buffer_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_header_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_header_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/easy_decoder_memusage.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/easy_decoder_memusage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_buffer_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_buffer_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_flags_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_flags_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/index_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/index_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/index_hash.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/index_hash.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_buffer_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_buffer_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_flags_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_flags_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/vli_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/vli_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/check/check.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/check/check.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/check/crc32_table.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/check/crc32_table.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/check/crc32_fast.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/check/crc32_fast.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/check/crc64_table.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/check/crc64_table.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/check/crc64_fast.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/check/crc64_fast.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/check/sha256.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/check/sha256.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lz/lz_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lz/lz_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lz/lz_encoder_mf.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lz/lz_encoder_mf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lz/lz_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lz/lz_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma_encoder_presets.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma_encoder_presets.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma_encoder_optimum_fast.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma_encoder_optimum_fast.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma_encoder_optimum_normal.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma_encoder_optimum_normal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/fastpos_table.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/fastpos_table.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma2_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma2_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma2_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma2_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/rangecoder/price_table.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/rangecoder/price_table.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/delta/delta_common.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/delta/delta_common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/delta/delta_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/delta/delta_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/delta/delta_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/delta/delta_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/simple_coder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/simple_coder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/simple_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/simple_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/simple_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/simple_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/x86.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/x86.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/powerpc.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/powerpc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/ia64.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/ia64.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/arm.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/arm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/armthumb.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/armthumb.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/sparc.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/sparc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/args.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/args.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/coder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/coder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/file_io.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/file_io.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/hardware.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/hardware.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/list.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/list.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/main.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/main.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/message.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/message.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/options.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/options.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/signals.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/signals.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/util.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/util.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_open_stdxxx.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_open_stdxxx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_progname.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_progname.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_exit.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_exit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_cpucores.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_cpucores.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_mbstr_width.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_mbstr_width.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_mbstr_fw.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_mbstr_fw.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spec_mem_io/spec_mem_io.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 spec_mem_io/spec_mem_io.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sha-2/sha512.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sha-2/sha512.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing spec.o spec_xz.o pxz.o common/tuklib_physmem.o liblzma/common/common.o liblzma/common/block_util.o liblzma/common/easy_preset.o liblzma/common/filter_common.o liblzma/common/hardware_physmem.o liblzma/common/index.o liblzma/common/stream_flags_common.o liblzma/common/vli_size.o liblzma/common/alone_encoder.o liblzma/common/block_buffer_encoder.o liblzma/common/block_encoder.o liblzma/common/block_header_encoder.o liblzma/common/easy_buffer_encoder.o liblzma/common/easy_encoder.o liblzma/common/easy_encoder_memusage.o liblzma/common/filter_buffer_encoder.o liblzma/common/filter_encoder.o liblzma/common/filter_flags_encoder.o liblzma/common/index_encoder.o liblzma/common/stream_buffer_encoder.o liblzma/common/stream_encoder.o liblzma/common/stream_flags_encoder.o liblzma/common/vli_encoder.o liblzma/common/alone_decoder.o liblzma/ common/auto_decoder.o liblzma/common/block_buffer_decoder.o liblzma/common/block_decoder.o liblzma/common/block_header_decoder.o liblzma/common/easy_decoder_memusage.o liblzma/common/filter_buffer_decoder.o liblzma/common/filter_decoder.o liblzma/common/filter_flags_decoder.o liblzma/common/index_decoder.o liblzma/common/index_hash.o liblzma/common/stream_buffer_decoder.o liblzma/common/stream_decoder.o liblzma/common/stream_flags_decoder.o liblzma/common/vli_decoder.o liblzma/check/check.o liblzma/check/crc32_table.o liblzma/check/crc32_fast.o liblzma/check/crc64_table.o liblzma/check/crc64_fast.o liblzma/check/sha256.o liblzma/lz/lz_encoder.o liblzma/lz/lz_encoder_mf.o liblzma/lz/lz_decoder.o liblzma/lzma/lzma_encoder.o liblzma/lzma/lzma_encoder_presets.o liblzma/lzma/lzma_encoder_optimum_fast.o liblzma/lzma/lzma_encoder_optimum_normal.o liblzma/lzma/fastpos_table.o liblzma/lzma/lzma_decoder.o liblzma/lzma/lzma2_encoder.o liblzma/lzma/lzma2_decoder.o liblzma/rangecoder/price_table.o liblzma/delta/delta_ common.o liblzma/delta/delta_encoder.o liblzma/delta/delta_decoder.o liblzma/simple/simple_coder.o liblzma/simple/simple_encoder.o liblzma/simple/simple_decoder.o liblzma/simple/x86.o liblzma/simple/powerpc.o liblzma/simple/ia64.o liblzma/simple/arm.o liblzma/simple/armthumb.o liblzma/simple/sparc.o xz/args.o xz/coder.o xz/file_io.o xz/hardware.o xz/list.o xz/main.o xz/message.o xz/options.o xz/signals.o xz/util.o common/tuklib_open_stdxxx.o common/tuklib_progname.o common/tuklib_exit.o common/tuklib_cpucores.o common/tuklib_mbstr_width.o common/tuklib_mbstr_fw.o spec_mem_io/spec_mem_io.o sha-2/sha512.o -o xz_s Stop make command: 2021-07-08 14:48:26 (1625770106.23013) Elapsed time for make command: 00:00:04 (4.44889879226685) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 14:48:26 (1625770106.23314) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" Stop options command: 2021-07-08 14:48:26 (1625770106.39492) Elapsed time for options command: 00:00:00 (0.161782026290894) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 14:48:26 (1625770106.39843) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 14:48:26 (1625770106.56744) Elapsed time for compiler-version command: 00:00:00 (0.169013977050781) Compile for '657.xz_s' ended at: 2021-07-08 14:48:26 (1625770106) Elapsed compile for '657.xz_s': 00:00:05 (5) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: c59b0fd9a58319cac1384cac1a75bfe1a8670c9ac62020288b78dda705087a79) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand-common -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand-common" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: e89ca807363b4edeab751e29e4664a9e11a7897fb2a907894df04636c2bffa14) Building 998.specrand_is base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:48:27] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=998 NAME=specrand_is SOURCES= main.c specrand-common/specrand.c EXEBASE=specrand_is NEED_MATH= BENCHLANG=C BENCH_FLAGS = -Ispecrand-common CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = specrand_is basepeak = 0 benchdir = benchspec benchmark = 998.specrand_is binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = specrand_is_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = 1 force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 lognum = 042.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = specrand_is nansupport = 0 need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:38:58 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4701.205 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 998 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0 --lognum 042.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/999.specrand_ir/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = rand.11.out Compile for '998.specrand_is' started at: 2021-07-08 14:48:27 (1625770107) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 14:48:27 (1625770107.40847) rm -rf *.o rand.11.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf specrand_is rm -rf specrand_is.exe rm -rf core Stop make.clean command: 2021-07-08 14:48:27 (1625770107.57168) Elapsed time for make.clean command: 00:00:00 (0.1632080078125) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 14:48:27 (1625770107.57459) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o main.o -DSPEC -DNDEBUG -Ispecrand-common -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 main.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o specrand-common/specrand.o -DSPEC -DNDEBUG -Ispecrand-common -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 specrand-common/specrand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing main.o specrand-common/specrand.o -o specrand_is Stop make command: 2021-07-08 14:48:27 (1625770107.8186) Elapsed time for make command: 00:00:00 (0.244008779525757) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 14:48:27 (1625770107.82148) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand-common -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand-common" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" Stop options command: 2021-07-08 14:48:27 (1625770107.98201) Elapsed time for options command: 00:00:00 (0.160528182983398) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 14:48:27 (1625770107.98531) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 14:48:28 (1625770108.16647) Elapsed time for compiler-version command: 00:00:00 (0.181168079376221) Compile for '998.specrand_is' ended at: 2021-07-08 14:48:28 (1625770108) Elapsed compile for '998.specrand_is': 00:00:01 (1) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand-common -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand-common" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Build successes for intspeed: 600.perlbench_s(base), 602.gcc_s(base), 605.mcf_s(base), 620.omnetpp_s(base), 623.xalancbmk_s(base), 625.x264_s(base), 631.deepsjeng_s(base), 641.leela_s(base), 648.exchange2_s(base), 657.xz_s(base), 998.specrand_is(base) Build errors for intspeed: None Build Complete runcpu finished at 2021-07-08 14:48:28; 570 total seconds elapsed Info: file_tail(14856): file '/notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.intspeed.042.0.debug' was removed and will no longer be followed. No action is required. ------------------------------------------------------------------------------- Setting up environment for running fpspeed... Starting runcpu for fpspeed... Running "specperl /notnfs/vmakarov/spec2017/bin/sysinfo" to gather system information. sysinfo: r5797 of 2017-06-14 (96c45e4568ad54c135fd618bcc091c0f) sysinfo: Getting system information for Linux... sysinfo: ...getting CPU info sysinfo: ...getting info from numactl sysinfo: ...getting memory info sysinfo: ...getting OS info sysinfo: ...getting disk info sysinfo: ...trying to get DIMM info from dmidecode Retrieving flags file (/notnfs/vmakarov/spec2017/config/flags/gcc.xml)... Verbosity = 5 Action = build Tune = base Label = x86_64-m64 Size = refspeed benchmarks= 603.bwaves_s,607.cactuBSSN_s,619.lbm_s,621.wrf_s,627.cam4_s,628.pop2_s,638.imagick_s,644.nab_s,649.fotonik3d_s,654.roms_s,996.specrand_fs outputs = txt,html,cfg,pdf,csv username = vmakarov Environment settings: LD_LIBRARY_PATH = "%{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64" OMP_STACKSIZE = "120M" Benchmarks selected: 603.bwaves_s, 607.cactuBSSN_s, 619.lbm_s, 621.wrf_s, 627.cam4_s, 628.pop2_s, 638.imagick_s, 644.nab_s, 649.fotonik3d_s, 654.roms_s, 996.specrand_fs Checksum mismatch for executables (stored: 1c89d264b3699fe8d64e5ca3a5756997442b5b16919aa82a002072efc8f7e087) Checksum mismatch for executables (stored: d788ba12a7c27ed0c9d795d6d28af006955c87447ae5d615894bccd7590a2f2f) Checksum mismatch for executables (stored: 0c959132fd36e6fce7ddcd688ee230ed627d138c4f2c71c76cf265b0af2f28b7) Checksum mismatch for executables (stored: 4aa96e16b7f035d64ba942988a780b736159383efee872701407c7b97aec20a6) When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_s_base.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_validate_627_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/exe/speed_pop2_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagevalidate_638_base.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagick_s_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/exe/nab_s_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/exe/fotonik3d_s_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/exe/sroms_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/exe/specrand_fs_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. Checksum mismatch for executables (stored: 1c89d264b3699fe8d64e5ca3a5756997442b5b16919aa82a002072efc8f7e087) Checksum mismatch for executables (stored: d788ba12a7c27ed0c9d795d6d28af006955c87447ae5d615894bccd7590a2f2f) Checksum mismatch for executables (stored: 0c959132fd36e6fce7ddcd688ee230ed627d138c4f2c71c76cf265b0af2f28b7) Checksum mismatch for executables (stored: 4aa96e16b7f035d64ba942988a780b736159383efee872701407c7b97aec20a6) When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_s_base.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_validate_627_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/exe/speed_pop2_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagevalidate_638_base.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagick_s_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/exe/nab_s_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/exe/fotonik3d_s_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/exe/sroms_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/exe/specrand_fs_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. Compiling Binaries ------------------------------------------------------------------------ Checksum mismatch for executables (stored: 1c89d264b3699fe8d64e5ca3a5756997442b5b16919aa82a002072efc8f7e087) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: f38779117122872c9dd27e5313fff6c7cd55f9eac288b5602ff805e610825e99) Building 603.bwaves_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:48:30] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=603 NAME=bwaves_s SOURCES= block_solver.F flow_lam.F flux_lam.F jacobian_lam.F shell_lam.F \ fill1.F fill2.F EXEBASE=speed_bwaves NEED_MATH= BENCHLANG=F CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 action = build allow_label_override = 0 backup_config = 1 baseexe = speed_bwaves basepeak = 0 benchdir = benchspec benchmark = 603.bwaves_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = speed_bwaves_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = bwaves_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 603 obiwan = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/503.bwaves_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = bwaves_1.out bwaves_2.out Compile for '603.bwaves_s' started at: 2021-07-08 14:48:30 (1625770110) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 14:48:30 (1625770110.14861) rm -rf *.o bwaves_1.out bwaves_2.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf speed_bwaves rm -rf speed_bwaves.exe rm -rf core Stop make.clean command: 2021-07-08 14:48:30 (1625770110.31105) Elapsed time for make.clean command: 00:00:00 (0.162435054779053) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 14:48:30 (1625770110.31401) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 block_solver.F -o block_solver.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o block_solver.fppized.o -O2 -mtune=generic -fopenmp block_solver.fppized.f /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 flow_lam.F -o flow_lam.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o flow_lam.fppized.o -O2 -mtune=generic -fopenmp flow_lam.fppized.f /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 flux_lam.F -o flux_lam.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o flux_lam.fppized.o -O2 -mtune=generic -fopenmp flux_lam.fppized.f /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 jacobian_lam.F -o jacobian_lam.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o jacobian_lam.fppized.o -O2 -mtune=generic -fopenmp jacobian_lam.fppized.f /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 shell_lam.F -o shell_lam.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shell_lam.fppized.o -O2 -mtune=generic -fopenmp shell_lam.fppized.f /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 fill1.F -o fill1.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fill1.fppized.o -O2 -mtune=generic -fopenmp fill1.fppized.f /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 fill2.F -o fill2.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fill2.fppized.o -O2 -mtune=generic -fopenmp fill2.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP block_solver.fppized.o flow_lam.fppized.o flux_lam.fppized.o jacobian_lam.fppized.o shell_lam.fppized.o fill1.fppized.o fill2.fppized.o -o speed_bwaves Stop make command: 2021-07-08 14:48:31 (1625770111.85405) Elapsed time for make command: 00:00:01 (1.54003405570984) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 14:48:31 (1625770111.85697) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 14:48:32 (1625770112.01814) Elapsed time for options command: 00:00:00 (0.161175966262817) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 14:48:32 (1625770112.02198) FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 14:48:32 (1625770112.18913) Elapsed time for compiler-version command: 00:00:00 (0.167140960693359) Compile for '603.bwaves_s' ended at: 2021-07-08 14:48:32 (1625770112) Elapsed compile for '603.bwaves_s': 00:00:02 (2) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: d788ba12a7c27ed0c9d795d6d28af006955c87447ae5d615894bccd7590a2f2f) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Iinclude -DCCODE" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Iinclude -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-Iinclude -DFCODE" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: CC_VERSION_OPTION: FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: 73c9c748b186e99992855758ee4d6e49db50713d6b7cf0d9e74d927a57ad206c) Building 607.cactuBSSN_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:48:32] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename Fortran/cctk.f90)): Fortran/cctk.f90 $(addsuffix $(OBJ),$(basename Fortran/cctk_ActiveThorns.f90 Fortran/cctk_Banner.f90 Fortran/cctk_Cache.f90 Fortran/cctk_Comm.f90 Fortran/cctk_CommandLine.f90 Fortran/cctk_Complex.f90 Fortran/cctk_Constants.f90 Fortran/cctk_Coord.f90 Fortran/cctk_DebugDefines.f90 Fortran/cctk_Faces.f90 Fortran/cctk_File.f90 Fortran/cctk_Flesh.f90 Fortran/cctk_FortranString1.f90 Fortran/cctk_FortranWrappers.f90 Fortran/cctk_GHExtensions.f90 Fortran/cctk_GNU.f90 Fortran/cctk_Groups.f90 Fortran/cctk_GroupsOnGH.f90 Fortran/cctk_IO.f90 Fortran/cctk_IOMethods.f90 Fortran/cctk_Interp.f90 Fortran/cctk_Main.f90 Fortran/cctk_Malloc1.f90 Fortran/cctk_Math.f90 Fortran/cctk_MemAlloc.f90 Fortran/cctk_Misc.f90 Fortran/cctk_ParamCheck.f90 Fortran/cctk_Parameter.f90 Fortran/cctk_Reduction.f90 Fortran/cctk_Schedule.f90 Fortran/cctk_Sync.f90 Fortran/cctk_Termination.f90 Fortran/cctk_Timers.f90 Fortran/cctk_Types.f90 Fortran/cctk_Version.f90 Fortran/cctk_WarnLevel.f90 Fortran /util_Table.f90) ) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=607 NAME=cactuBSSN_s SOURCES= gnu/getopt.c gnu/getopt1.c gnu/regex.c ADMBase/InitSymBound.c \ ADMBase/Initialisation.c ADMBase/ParamCheck.c ADMBase/Static.c \ ADMBase/cctk_Bindings/cctk_ThornBindings.c \ ADMCoupling/cctk_Bindings/cctk_ThornBindings.c \ ADMMacros/SetLocalSpatialOrder.c \ ADMMacros/cctk_Bindings/cctk_ThornBindings.c Boundary/Boundary.c \ Boundary/Check.c Boundary/CopyBoundary.c Boundary/FlatBoundary.c \ Boundary/NoneBoundary.c Boundary/RadiationBoundary.c Boundary/Register.c \ Boundary/RobinBoundary.c Boundary/ScalarBoundary.c \ Boundary/StaticBoundary.c Boundary/cctk_Bindings/cctk_ThornBindings.c \ Cactus/IO/FortranBindingsIO.c Cactus/IO/IOMethods.c \ Cactus/IO/OverloadIO.c Cactus/cctk_Bindings/cctk_ThornBindings.c \ Cactus/comm/CactusDefaultComm.c Cactus/comm/CactusSync.c \ Cactus/comm/Interp.c Cactus/comm/OverloadComm.c Cactus/comm/Reduction.c \ Cactus/main/ActiveThorns.c Cactus/main/Banner.c \ Cactus/main/CactusDefaultEvolve.c Cactus/main/CactusDefaultInitialise.c \ Cactus/main/CactusDefaultMainLoopIndex.c \ Cactus/main/CactusDefaultShutdown.c Cactus/main/CallStartupFunctions.c \ Cactus/main/CommandLine.c Cactus/main/Complex.c Cactus/main/ConfigData.c \ Cactus/main/Coord.c Cactus/main/DebugDefines.c \ Cactus/main/DefaultTimers.c Cactus/main/Dummies.c \ Cactus/main/FortranWrappers.c Cactus/main/GHExtensions.c \ Cactus/main/Groups.c Cactus/main/GroupsOnGH.c \ Cactus/main/InitialiseCactus.c Cactus/main/InitialiseDataStructures.c \ Cactus/main/MainUtils.c Cactus/main/Names.c Cactus/main/OverloadMain.c \ Cactus/main/Parameters.c Cactus/main/ProcessCommandLine.c \ Cactus/main/ProcessEnvironment.c Cactus/main/ProcessParameterDatabase.c \ Cactus/main/ScheduleInterface.c Cactus/main/SetParams.c \ Cactus/main/SetupCache.c Cactus/main/ShutdownCactus.c \ Cactus/main/Subsystems.c Cactus/main/Termination.c \ Cactus/main/Traverse.c Cactus/main/WarnLevel.c Cactus/main/flesh.cc \ Cactus/piraha/AutoGrammar.cc Cactus/piraha/Boundary.cc \ Cactus/piraha/Bracket.cc Cactus/piraha/Call.cc Cactus/piraha/Dot.cc \ Cactus/piraha/End.cc Cactus/piraha/Grammar.cc Cactus/piraha/Group.cc \ Cactus/piraha/ILiteral.cc Cactus/piraha/Literal.cc \ Cactus/piraha/Lookup.cc Cactus/piraha/Matcher.cc Cactus/piraha/Multi.cc \ Cactus/piraha/NegLookAhead.cc Cactus/piraha/Or.cc \ Cactus/piraha/ReParse.cc Cactus/piraha/Seq.cc Cactus/piraha/Start.cc \ Cactus/piraha/smart_ptr.cc Cactus/schedule/ScheduleCreater.c \ Cactus/schedule/ScheduleSorter.c Cactus/schedule/ScheduleTraverse.c \ Cactus/util/Cache.c Cactus/util/CactusTimers.c Cactus/util/Expression.c \ Cactus/util/File.c Cactus/util/Hash.c Cactus/util/Malloc.c \ Cactus/util/Math.c Cactus/util/Misc.c Cactus/util/Network.c \ Cactus/util/ParseFile.c Cactus/util/RegisterKeyedFunction.c \ Cactus/util/SKBinTree.c Cactus/util/StoreHandledData.c \ Cactus/util/StoreKeyedData.c Cactus/util/StoreNamedData.c \ Cactus/util/String.c Cactus/util/StringList.c Cactus/util/Table.c \ Cactus/util/Time.c Cactus/util/snprintf.c \ CactusBindings/Functions/ADMBase_Functions.c \ CactusBindings/Functions/AliasedFunctions.c \ CactusBindings/Functions/Boundary_Functions.c \ CactusBindings/Functions/CartGrid3D_Functions.c \ CactusBindings/Functions/CoordBase_Functions.c \ CactusBindings/Functions/GaugeWave_Functions.c \ CactusBindings/Functions/GenericFD_Functions.c \ CactusBindings/Functions/IOASCII_Functions.c \ CactusBindings/Functions/IOBasic_Functions.c \ CactusBindings/Functions/IOUtil_Functions.c \ CactusBindings/Functions/IsFunctionAliased.c \ CactusBindings/Functions/ML_BSSN_Functions.c \ CactusBindings/Functions/ML_BSSN_Helper_Functions.c \ CactusBindings/Functions/MoL_Functions.c \ CactusBindings/Functions/PUGHInterp_Functions.c \ CactusBindings/Functions/PUGHSlab_Functions.c \ CactusBindings/Functions/RegisterThornFunctions.c \ CactusBindings/Functions/StaticConformal_Functions.c \ CactusBindings/Functions/SymBase_Functions.c \ CactusBindings/Implementations/ImplementationBindings.c \ CactusBindings/Parameters/ADMBase_Parameters.c \ CactusBindings/Parameters/ADMCoupling_Parameters.c \ CactusBindings/Parameters/ADMMacros_Parameters.c \ CactusBindings/Parameters/BindingsParameters.c \ CactusBindings/Parameters/Boundary_Parameters.c \ CactusBindings/Parameters/Cactus_Parameters.c \ CactusBindings/Parameters/CartGrid3D_Parameters.c \ CactusBindings/Parameters/CoordBase_Parameters.c \ CactusBindings/Parameters/CoordGauge_Parameters.c \ CactusBindings/Parameters/Fortran_Parameters.c \ CactusBindings/Parameters/GaugeWave_Parameters.c \ CactusBindings/Parameters/GenericFD_Parameters.c \ CactusBindings/Parameters/Global.c \ CactusBindings/Parameters/IOASCII_Parameters.c \ CactusBindings/Parameters/IOBasic_Parameters.c \ CactusBindings/Parameters/IOUtil_Parameters.c \ CactusBindings/Parameters/LocalReduce_Parameters.c \ CactusBindings/Parameters/ML_BSSN_Helper_Parameters.c \ CactusBindings/Parameters/ML_BSSN_Parameters.c \ CactusBindings/Parameters/MoL_Parameters.c \ CactusBindings/Parameters/PUGHInterp_Parameters.c \ CactusBindings/Parameters/PUGHReduce_Parameters.c \ CactusBindings/Parameters/PUGHSlab_Parameters.c \ CactusBindings/Parameters/PUGH_Parameters.c \ CactusBindings/Parameters/StaticConformal_Parameters.c \ CactusBindings/Parameters/SymBase_Parameters.c \ CactusBindings/Parameters/Time_Parameters.c \ CactusBindings/Parameters/TmunuBase_Parameters.c \ CactusBindings/Schedule/BindingsParameterRecovery.c \ CactusBindings/Schedule/BindingsSchedule.c \ CactusBindings/Schedule/ScheduleADMBase.c \ CactusBindings/Schedule/ScheduleADMCoupling.c \ CactusBindings/Schedule/ScheduleADMMacros.c \ CactusBindings/Schedule/ScheduleBoundary.c \ CactusBindings/Schedule/ScheduleCactus.c \ CactusBindings/Schedule/ScheduleCartGrid3D.c \ CactusBindings/Schedule/ScheduleCoordBase.c \ CactusBindings/Schedule/ScheduleCoordGauge.c \ CactusBindings/Schedule/ScheduleFortran.c \ CactusBindings/Schedule/ScheduleGaugeWave.c \ CactusBindings/Schedule/ScheduleGenericFD.c \ CactusBindings/Schedule/ScheduleIOASCII.c \ CactusBindings/Schedule/ScheduleIOBasic.c \ CactusBindings/Schedule/ScheduleIOUtil.c \ CactusBindings/Schedule/ScheduleLocalReduce.c \ CactusBindings/Schedule/ScheduleML_BSSN.c \ CactusBindings/Schedule/ScheduleML_BSSN_Helper.c \ CactusBindings/Schedule/ScheduleMoL.c \ CactusBindings/Schedule/SchedulePUGH.c \ CactusBindings/Schedule/SchedulePUGHInterp.c \ CactusBindings/Schedule/SchedulePUGHReduce.c \ CactusBindings/Schedule/SchedulePUGHSlab.c \ CactusBindings/Schedule/ScheduleStaticConformal.c \ CactusBindings/Schedule/ScheduleSymBase.c \ CactusBindings/Schedule/ScheduleTime.c \ CactusBindings/Schedule/ScheduleTmunuBase.c \ CactusBindings/Variables/ADMBase.c \ CactusBindings/Variables/ADMCoupling.c \ CactusBindings/Variables/ADMMacros.c \ CactusBindings/Variables/BindingsVariables.c \ CactusBindings/Variables/Boundary.c CactusBindings/Variables/Cactus.c \ CactusBindings/Variables/CartGrid3D.c \ CactusBindings/Variables/CoordBase.c \ CactusBindings/Variables/CoordGauge.c CactusBindings/Variables/Fortran.c \ CactusBindings/Variables/GaugeWave.c \ CactusBindings/Variables/GenericFD.c CactusBindings/Variables/IOASCII.c \ CactusBindings/Variables/IOBasic.c CactusBindings/Variables/IOUtil.c \ CactusBindings/Variables/LocalReduce.c \ CactusBindings/Variables/ML_BSSN.c \ CactusBindings/Variables/ML_BSSN_Helper.c CactusBindings/Variables/MoL.c \ CactusBindings/Variables/PUGH.c CactusBindings/Variables/PUGHInterp.c \ CactusBindings/Variables/PUGHReduce.c \ CactusBindings/Variables/PUGHSlab.c \ CactusBindings/Variables/StaticConformal.c \ CactusBindings/Variables/SymBase.c CactusBindings/Variables/Time.c \ CactusBindings/Variables/TmunuBase.c CartGrid3D/CartGrid3D.c \ CartGrid3D/DecodeSymParameters.c CartGrid3D/GetSymmetry.c \ CartGrid3D/ParamCheck.c CartGrid3D/RegisterSymmetries.c \ CartGrid3D/SetSymmetry.c CartGrid3D/Startup.c CartGrid3D/Symmetry.c \ CartGrid3D/cctk_Bindings/cctk_ThornBindings.c CoordBase/CoordBase.c \ CoordBase/Domain.c CoordBase/GHExtension.c \ CoordBase/cctk_Bindings/cctk_ThornBindings.c CoordGauge/Slicing.c \ CoordGauge/cctk_Bindings/cctk_ThornBindings.c Fortran/cctk.f90 \ Fortran/cctk_ActiveThorns.f90 Fortran/cctk_Banner.f90 \ Fortran/cctk_Bindings/cctk_ThornBindings.c Fortran/cctk_Cache.f90 \ Fortran/cctk_Comm.f90 Fortran/cctk_CommandLine.f90 \ Fortran/cctk_Complex.f90 Fortran/cctk_Constants.f90 \ Fortran/cctk_Coord.f90 Fortran/cctk_DebugDefines.f90 \ Fortran/cctk_Faces.f90 Fortran/cctk_File.f90 Fortran/cctk_Flesh.f90 \ Fortran/cctk_FortranString1.f90 Fortran/cctk_FortranWrappers.f90 \ Fortran/cctk_GHExtensions.f90 Fortran/cctk_GNU.f90 \ Fortran/cctk_Groups.f90 Fortran/cctk_GroupsOnGH.f90 Fortran/cctk_IO.f90 \ Fortran/cctk_IOMethods.f90 Fortran/cctk_Interp.f90 Fortran/cctk_Main.f90 \ Fortran/cctk_Malloc1.f90 Fortran/cctk_Math.f90 Fortran/cctk_MemAlloc.f90 \ Fortran/cctk_Misc.f90 Fortran/cctk_ParamCheck.f90 \ Fortran/cctk_Parameter.f90 Fortran/cctk_Reduction.f90 \ Fortran/cctk_Schedule.f90 Fortran/cctk_Sync.f90 \ Fortran/cctk_Termination.f90 Fortran/cctk_Timers.f90 \ Fortran/cctk_Types.f90 Fortran/cctk_Version.f90 \ Fortran/cctk_WarnLevel.f90 Fortran/paramcheck.f90 Fortran/util_Table.f90 \ GaugeWave/Boundaries.cc GaugeWave/GaugeWave_always.cc \ GaugeWave/GaugeWave_initial.cc GaugeWave/ParamCheck.cc \ GaugeWave/RegisterMoL.cc GaugeWave/RegisterSymmetries.cc \ GaugeWave/Startup.cc GaugeWave/cctk_Bindings/cctk_ThornBindings.c \ GenericFD/GenericFD.c GenericFD/cctk_Bindings/cctk_ThornBindings.c \ IOASCII/ChooseOutput.c IOASCII/Output1D.c IOASCII/Output2D.c \ IOASCII/Output3D.c IOASCII/Startup.c IOASCII/Write1D.c IOASCII/Write2D.c \ IOASCII/Write3D.c IOASCII/cctk_Bindings/cctk_ThornBindings.c \ IOBasic/OutputInfo.c IOBasic/OutputScalar.c IOBasic/Startup.c \ IOBasic/WriteInfo.c IOBasic/WriteScalar.c \ IOBasic/cctk_Bindings/cctk_ThornBindings.c IOUtil/AdvertisedFiles.c \ IOUtil/CheckpointRecovery.c IOUtil/Startup.c IOUtil/Utils.c \ IOUtil/cctk_Bindings/cctk_ThornBindings.c LocalReduce/AvgFunctions.c \ LocalReduce/CountFunctions.c LocalReduce/MaxFunctions.c \ LocalReduce/MinFunctions.c LocalReduce/Norm1Functions.c \ LocalReduce/Norm2Functions.c LocalReduce/Norm3Functions.c \ LocalReduce/Norm4Functions.c LocalReduce/NormInfFunctions.c \ LocalReduce/Reduction.c LocalReduce/ReductionAvg.c \ LocalReduce/ReductionCount.c LocalReduce/ReductionMax.c \ LocalReduce/ReductionMin.c LocalReduce/ReductionNorm1.c \ LocalReduce/ReductionNorm2.c LocalReduce/ReductionNorm3.c \ LocalReduce/ReductionNorm4.c LocalReduce/ReductionNormInf.c \ LocalReduce/ReductionSum.c LocalReduce/Startup.c \ LocalReduce/SumFunctions.c \ LocalReduce/cctk_Bindings/cctk_ThornBindings.c ML_BSSN/Boundaries.cc \ ML_BSSN/ML_BSSN_Advect.cc ML_BSSN/ML_BSSN_Dissipation.cc \ ML_BSSN/ML_BSSN_InitGamma.cc ML_BSSN/ML_BSSN_InitRHS.cc \ ML_BSSN/ML_BSSN_Minkowski.cc ML_BSSN/ML_BSSN_RHS.cc \ ML_BSSN/ML_BSSN_RHSStaticBoundary.cc ML_BSSN/ML_BSSN_boundary.cc \ ML_BSSN/ML_BSSN_constraints.cc ML_BSSN/ML_BSSN_convertFromADMBase.cc \ ML_BSSN/ML_BSSN_convertFromADMBaseGamma.cc \ ML_BSSN/ML_BSSN_convertToADMBase.cc \ ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShift.cc \ ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShiftBoundary.cc \ ML_BSSN/ML_BSSN_convertToADMBaseFakeDtLapseShift.cc \ ML_BSSN/ML_BSSN_enforce.cc ML_BSSN/RegisterMoL.cc \ ML_BSSN/RegisterSymmetries.cc ML_BSSN/Startup.cc \ ML_BSSN/cctk_Bindings/cctk_ThornBindings.c \ ML_BSSN_Helper/ExtrapolateGammas.c ML_BSSN_Helper/NewRad.c \ ML_BSSN_Helper/RegisterConstrained.c ML_BSSN_Helper/RegisterSlicing.c \ ML_BSSN_Helper/SelectBCsADMBase.c ML_BSSN_Helper/SetGroupTags.c \ ML_BSSN_Helper/cctk_Bindings/cctk_ThornBindings.c MoL/AB.c \ MoL/ChangeType.c MoL/Counter.c MoL/Euler.c MoL/GenericRK.c MoL/ICN.c \ MoL/IndexArrays.c MoL/InitialCopy.c MoL/Operators.c MoL/ParamCheck.c \ MoL/RHSNaNCheck.c MoL/RK2-MR-2_1.c MoL/RK2.c MoL/RK3.c MoL/RK4-MR-2_1.c \ MoL/RK4-RK2.c MoL/RK4.c MoL/RK45.c MoL/RK65.c MoL/RK87.c \ MoL/RKCoefficients.c MoL/Registration.c MoL/SandR.c MoL/SetTime.c \ MoL/Startup.c MoL/StepSize.c MoL/cctk_Bindings/cctk_ThornBindings.c \ PUGH/Comm.c PUGH/Evolve.c PUGH/FinishReceiveGA.c PUGH/GHExtension.c \ PUGH/LoadAware.c PUGH/Overloadables.c PUGH/P2LMappings.c \ PUGH/PostReceiveGA.c PUGH/PostSendGA.c PUGH/PughUtils.c \ PUGH/Registration.c PUGH/SetupGroup.c PUGH/SetupPGH.c PUGH/SetupPGV.c \ PUGH/Startup.c PUGH/Storage.c PUGH/Topology.c PUGH/Vectors.cc \ PUGH/cctk_Bindings/cctk_ThornBindings.c PUGHInterp/InterpGridArrays.c \ PUGHInterp/Startup.c PUGHInterp/cctk_Bindings/cctk_ThornBindings.c \ PUGHReduce/ReduceArraysGlobally.c PUGHReduce/ReduceGA.c \ PUGHReduce/Reduction.c PUGHReduce/ReductionAvg.c \ PUGHReduce/ReductionCount.c PUGHReduce/ReductionMax.c \ PUGHReduce/ReductionMin.c PUGHReduce/ReductionNorm1.c \ PUGHReduce/ReductionNorm2.c PUGHReduce/ReductionNorm3.c \ PUGHReduce/ReductionNorm4.c PUGHReduce/ReductionNormInf.c \ PUGHReduce/ReductionSum.c PUGHReduce/Startup.c \ PUGHReduce/cctk_Bindings/cctk_ThornBindings.c \ PUGHSlab/DatatypeConversion.c PUGHSlab/GetHyperslab.c PUGHSlab/Mapping.c \ PUGHSlab/cctk_Bindings/cctk_ThornBindings.c StaticConformal/ConfPhys.c \ StaticConformal/Initialise.c \ StaticConformal/cctk_Bindings/cctk_ThornBindings.c SymBase/Check.c \ SymBase/Faces.c SymBase/Handles.c SymBase/Interpolation.c \ SymBase/Startup.c SymBase/Statistics.c SymBase/Table.c \ SymBase/cctk_Bindings/cctk_ThornBindings.c Time/Courant.c Time/Given.c \ Time/Initialise.c Time/Simple.c Time/cctk_Bindings/cctk_ThornBindings.c \ TmunuBase/CopyTmunu.f90 TmunuBase/SetStressEnergyState.f90 \ TmunuBase/SetTmunu.f90 TmunuBase/ZeroTmunu.f90 \ TmunuBase/cctk_Bindings/cctk_ThornBindings.c datestamp.c EXEBASE=cactuBSSN_s NEED_MATH=yes BENCHLANG=CXX C F BENCH_CFLAGS = -Iinclude -DCCODE BENCH_CXXFLAGS = -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 BENCH_FFLAGS = -Iinclude -DFCODE CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 action = build allow_label_override = 0 backup_config = 1 baseexe = cactuBSSN_s basepeak = 0 benchdir = benchspec benchmark = 607.cactuBSSN_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = cactuBSSN_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = 1 force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = cactuBSSN_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 607 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/507.cactuBSSN_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = gxx.xl gxy.xl spec_train.out Compile for '607.cactuBSSN_s' started at: 2021-07-08 14:48:33 (1625770113) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 14:48:33 (1625770113.46218) rm -rf *.o gxx.xl gxy.xl spec_train.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf cactuBSSN_s rm -rf cactuBSSN_s.exe rm -rf core Stop make.clean command: 2021-07-08 14:48:33 (1625770113.62654) Elapsed time for make.clean command: 00:00:00 (0.164353132247925) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 14:48:33 (1625770113.62997) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gnu/getopt.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gnu/getopt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gnu/getopt1.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gnu/getopt1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gnu/regex.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gnu/regex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMBase/InitSymBound.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMBase/InitSymBound.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMBase/Initialisation.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMBase/Initialisation.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMBase/ParamCheck.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMBase/ParamCheck.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMBase/Static.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMBase/Static.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMBase/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMBase/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMCoupling/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMCoupling/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMMacros/SetLocalSpatialOrder.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMMacros/SetLocalSpatialOrder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMMacros/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMMacros/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/Boundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/Boundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/Check.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/Check.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/CopyBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/CopyBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/FlatBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/FlatBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/NoneBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/NoneBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/RadiationBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/RadiationBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/Register.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/Register.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/RobinBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/RobinBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/ScalarBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/ScalarBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/StaticBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/StaticBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/IO/FortranBindingsIO.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/IO/FortranBindingsIO.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/IO/IOMethods.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/IO/IOMethods.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/IO/OverloadIO.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/IO/OverloadIO.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/comm/CactusDefaultComm.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/comm/CactusDefaultComm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/comm/CactusSync.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/comm/CactusSync.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/comm/Interp.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/comm/Interp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/comm/OverloadComm.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/comm/OverloadComm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/comm/Reduction.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/comm/Reduction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ActiveThorns.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ActiveThorns.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Banner.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Banner.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/CactusDefaultEvolve.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/CactusDefaultEvolve.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/CactusDefaultInitialise.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/CactusDefaultInitialise.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/CactusDefaultMainLoopIndex.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/CactusDefaultMainLoopIndex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/CactusDefaultShutdown.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/CactusDefaultShutdown.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/CallStartupFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/CallStartupFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/CommandLine.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/CommandLine.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Complex.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Complex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ConfigData.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ConfigData.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Coord.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Coord.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/DebugDefines.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/DebugDefines.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/DefaultTimers.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/DefaultTimers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Dummies.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Dummies.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/FortranWrappers.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/FortranWrappers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/GHExtensions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/GHExtensions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Groups.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Groups.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/GroupsOnGH.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/GroupsOnGH.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/InitialiseCactus.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/InitialiseCactus.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/InitialiseDataStructures.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/InitialiseDataStructures.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/MainUtils.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/MainUtils.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Names.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Names.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/OverloadMain.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/OverloadMain.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ProcessCommandLine.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ProcessCommandLine.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ProcessEnvironment.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ProcessEnvironment.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ProcessParameterDatabase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ProcessParameterDatabase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ScheduleInterface.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ScheduleInterface.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/SetParams.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/SetParams.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/SetupCache.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/SetupCache.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ShutdownCactus.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ShutdownCactus.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Subsystems.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Subsystems.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Termination.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Termination.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Traverse.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Traverse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/WarnLevel.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/WarnLevel.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/main/flesh.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/flesh.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/AutoGrammar.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/AutoGrammar.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Boundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Boundary.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Bracket.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Bracket.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Call.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Call.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Dot.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Dot.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/End.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/End.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Grammar.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Grammar.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Group.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Group.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/ILiteral.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/ILiteral.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Literal.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Literal.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Lookup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Lookup.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Matcher.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Matcher.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Multi.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Multi.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/NegLookAhead.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/NegLookAhead.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Or.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Or.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/ReParse.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/ReParse.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Seq.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Seq.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Start.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Start.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/smart_ptr.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/smart_ptr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/schedule/ScheduleCreater.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/schedule/ScheduleCreater.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/schedule/ScheduleSorter.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/schedule/ScheduleSorter.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/schedule/ScheduleTraverse.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/schedule/ScheduleTraverse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Cache.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Cache.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/CactusTimers.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/CactusTimers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Expression.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Expression.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/File.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/File.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Hash.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Hash.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Malloc.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Malloc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Math.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Math.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Misc.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Misc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Network.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Network.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/ParseFile.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/ParseFile.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/RegisterKeyedFunction.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/RegisterKeyedFunction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/SKBinTree.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/SKBinTree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/StoreHandledData.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/StoreHandledData.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/StoreKeyedData.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/StoreKeyedData.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/StoreNamedData.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/StoreNamedData.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/String.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/String.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/StringList.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/StringList.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Table.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Table.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Time.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Time.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/snprintf.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/snprintf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/ADMBase_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/ADMBase_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/AliasedFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/AliasedFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/Boundary_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/Boundary_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/CartGrid3D_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/CartGrid3D_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/CoordBase_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/CoordBase_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/GaugeWave_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/GaugeWave_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/GenericFD_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/GenericFD_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/IOASCII_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/IOASCII_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/IOBasic_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/IOBasic_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/IOUtil_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/IOUtil_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/IsFunctionAliased.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/IsFunctionAliased.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/ML_BSSN_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/ML_BSSN_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/ML_BSSN_Helper_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/ML_BSSN_Helper_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/MoL_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/MoL_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/PUGHInterp_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/PUGHInterp_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/PUGHSlab_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/PUGHSlab_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/RegisterThornFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/RegisterThornFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/StaticConformal_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/StaticConformal_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/SymBase_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/SymBase_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Implementations/ImplementationBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Implementations/ImplementationBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/ADMBase_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/ADMBase_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/ADMCoupling_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/ADMCoupling_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/ADMMacros_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/ADMMacros_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/BindingsParameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/BindingsParameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/Boundary_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/Boundary_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/Cactus_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/Cactus_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/CartGrid3D_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/CartGrid3D_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/CoordBase_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/CoordBase_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/CoordGauge_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/CoordGauge_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/Fortran_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/Fortran_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/GaugeWave_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/GaugeWave_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/GenericFD_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/GenericFD_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/Global.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/Global.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/IOASCII_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/IOASCII_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/IOBasic_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/IOBasic_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/IOUtil_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/IOUtil_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/LocalReduce_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/LocalReduce_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/ML_BSSN_Helper_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/ML_BSSN_Helper_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/ML_BSSN_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/ML_BSSN_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/MoL_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/MoL_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/PUGHInterp_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/PUGHInterp_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/PUGHReduce_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/PUGHReduce_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/PUGHSlab_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/PUGHSlab_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/PUGH_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/PUGH_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/StaticConformal_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/StaticConformal_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/SymBase_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/SymBase_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/Time_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/Time_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/TmunuBase_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/TmunuBase_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/BindingsParameterRecovery.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/BindingsParameterRecovery.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/BindingsSchedule.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/BindingsSchedule.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleADMBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleADMBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleADMCoupling.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleADMCoupling.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleADMMacros.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleADMMacros.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleCactus.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleCactus.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleCartGrid3D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleCartGrid3D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleCoordBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleCoordBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleCoordGauge.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleCoordGauge.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleFortran.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleFortran.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleGaugeWave.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleGaugeWave.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleGenericFD.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleGenericFD.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleIOASCII.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleIOASCII.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleIOBasic.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleIOBasic.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleIOUtil.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleIOUtil.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleLocalReduce.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleLocalReduce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleML_BSSN.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleML_BSSN.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleML_BSSN_Helper.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleML_BSSN_Helper.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleMoL.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleMoL.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/SchedulePUGH.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/SchedulePUGH.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/SchedulePUGHInterp.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/SchedulePUGHInterp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/SchedulePUGHReduce.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/SchedulePUGHReduce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/SchedulePUGHSlab.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/SchedulePUGHSlab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleStaticConformal.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleStaticConformal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleSymBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleSymBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleTime.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleTime.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleTmunuBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleTmunuBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/ADMBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/ADMBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/ADMCoupling.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/ADMCoupling.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/ADMMacros.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/ADMMacros.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/BindingsVariables.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/BindingsVariables.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/Boundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/Boundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/Cactus.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/Cactus.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/CartGrid3D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/CartGrid3D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/CoordBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/CoordBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/CoordGauge.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/CoordGauge.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/Fortran.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/Fortran.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/GaugeWave.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/GaugeWave.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/GenericFD.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/GenericFD.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/IOASCII.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/IOASCII.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/IOBasic.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/IOBasic.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/IOUtil.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/IOUtil.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/LocalReduce.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/LocalReduce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/ML_BSSN.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/ML_BSSN.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/ML_BSSN_Helper.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/ML_BSSN_Helper.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/MoL.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/MoL.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/PUGH.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/PUGH.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/PUGHInterp.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/PUGHInterp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/PUGHReduce.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/PUGHReduce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/PUGHSlab.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/PUGHSlab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/StaticConformal.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/StaticConformal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/SymBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/SymBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/Time.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/Time.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/TmunuBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/TmunuBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/CartGrid3D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/CartGrid3D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/DecodeSymParameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/DecodeSymParameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/GetSymmetry.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/GetSymmetry.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/ParamCheck.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/ParamCheck.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/RegisterSymmetries.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/RegisterSymmetries.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/SetSymmetry.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/SetSymmetry.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/Symmetry.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/Symmetry.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CoordBase/CoordBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CoordBase/CoordBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CoordBase/Domain.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CoordBase/Domain.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CoordBase/GHExtension.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CoordBase/GHExtension.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CoordBase/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CoordBase/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CoordGauge/Slicing.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CoordGauge/Slicing.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CoordGauge/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CoordGauge/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_ActiveThorns.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_ActiveThorns.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Banner.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Banner.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Cache.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Cache.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Comm.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Comm.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_CommandLine.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_CommandLine.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Complex.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Complex.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Constants.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Constants.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Coord.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Coord.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_DebugDefines.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_DebugDefines.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Faces.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Faces.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_File.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_File.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Flesh.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Flesh.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_FortranString1.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_FortranString1.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_FortranWrappers.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_FortranWrappers.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_GHExtensions.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_GHExtensions.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_GNU.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_GNU.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Groups.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Groups.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_GroupsOnGH.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_GroupsOnGH.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_IO.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_IO.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_IOMethods.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_IOMethods.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Interp.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Interp.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Main.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Main.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Malloc1.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Malloc1.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Math.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Math.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_MemAlloc.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_MemAlloc.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Misc.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Misc.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_ParamCheck.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_ParamCheck.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Parameter.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Parameter.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Reduction.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Reduction.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Schedule.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Schedule.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Sync.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Sync.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Termination.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Termination.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Timers.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Timers.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Types.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Types.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Version.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_Version.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_WarnLevel.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk_WarnLevel.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/util_Table.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/util_Table.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/cctk.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Fortran/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Fortran/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/paramcheck.o -Iinclude -O2 -mtune=generic -fopenmp Fortran/paramcheck.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/Boundaries.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/Boundaries.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/GaugeWave_always.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/GaugeWave_always.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/GaugeWave_initial.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/GaugeWave_initial.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/ParamCheck.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/ParamCheck.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/RegisterMoL.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/RegisterMoL.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/RegisterSymmetries.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/RegisterSymmetries.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/Startup.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GaugeWave/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GenericFD/GenericFD.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GenericFD/GenericFD.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GenericFD/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GenericFD/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/ChooseOutput.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/ChooseOutput.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Output1D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Output1D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Output2D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Output2D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Output3D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Output3D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Write1D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Write1D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Write2D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Write2D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Write3D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Write3D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOBasic/OutputInfo.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOBasic/OutputInfo.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOBasic/OutputScalar.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOBasic/OutputScalar.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOBasic/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOBasic/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOBasic/WriteInfo.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOBasic/WriteInfo.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOBasic/WriteScalar.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOBasic/WriteScalar.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOBasic/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOBasic/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOUtil/AdvertisedFiles.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOUtil/AdvertisedFiles.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOUtil/CheckpointRecovery.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOUtil/CheckpointRecovery.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOUtil/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOUtil/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOUtil/Utils.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOUtil/Utils.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOUtil/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOUtil/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/AvgFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/AvgFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/CountFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/CountFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/MaxFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/MaxFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/MinFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/MinFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/Norm1Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/Norm1Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/Norm2Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/Norm2Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/Norm3Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/Norm3Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/Norm4Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/Norm4Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/NormInfFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/NormInfFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/Reduction.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/Reduction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionAvg.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionAvg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionCount.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionCount.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionMax.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionMax.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionMin.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionMin.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionNorm1.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionNorm1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionNorm2.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionNorm2.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionNorm3.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionNorm3.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionNorm4.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionNorm4.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionNormInf.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionNormInf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionSum.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionSum.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/SumFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/SumFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/Boundaries.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/Boundaries.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_Advect.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_Advect.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_Dissipation.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_Dissipation.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_InitGamma.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_InitGamma.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_InitRHS.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_InitRHS.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_Minkowski.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_Minkowski.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_RHS.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_RHS.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_RHSStaticBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_RHSStaticBoundary.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_boundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_boundary.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_constraints.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_constraints.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_convertFromADMBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_convertFromADMBase.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_convertFromADMBaseGamma.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_convertFromADMBaseGamma.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_convertToADMBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_convertToADMBase.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShift.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShift.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShiftBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShiftBoundary.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_convertToADMBaseFakeDtLapseShift.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_convertToADMBaseFakeDtLapseShift.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_enforce.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_enforce.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/RegisterMoL.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/RegisterMoL.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/RegisterSymmetries.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/RegisterSymmetries.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/Startup.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/ExtrapolateGammas.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/ExtrapolateGammas.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/NewRad.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/NewRad.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/RegisterConstrained.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/RegisterConstrained.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/RegisterSlicing.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/RegisterSlicing.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/SelectBCsADMBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/SelectBCsADMBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/SetGroupTags.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/SetGroupTags.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/AB.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/AB.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/ChangeType.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/ChangeType.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/Counter.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/Counter.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/Euler.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/Euler.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/GenericRK.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/GenericRK.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/ICN.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/ICN.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/IndexArrays.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/IndexArrays.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/InitialCopy.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/InitialCopy.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/Operators.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/Operators.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/ParamCheck.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/ParamCheck.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RHSNaNCheck.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RHSNaNCheck.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK2-MR-2_1.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK2-MR-2_1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK2.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK2.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK3.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK3.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK4-MR-2_1.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK4-MR-2_1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK4-RK2.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK4-RK2.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK4.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK4.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK45.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK45.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK65.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK65.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK87.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK87.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RKCoefficients.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RKCoefficients.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/Registration.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/Registration.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/SandR.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/SandR.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/SetTime.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/SetTime.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/StepSize.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/StepSize.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Comm.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Comm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Evolve.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Evolve.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/FinishReceiveGA.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/FinishReceiveGA.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/GHExtension.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/GHExtension.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/LoadAware.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/LoadAware.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Overloadables.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Overloadables.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/P2LMappings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/P2LMappings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/PostReceiveGA.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/PostReceiveGA.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/PostSendGA.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/PostSendGA.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/PughUtils.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/PughUtils.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Registration.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Registration.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/SetupGroup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/SetupGroup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/SetupPGH.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/SetupPGH.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/SetupPGV.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/SetupPGV.c In function ‘PUGH_SetupPGExtrasMemory’, inlined from ‘PUGH_SetupPGExtras’ at PUGH/SetupPGV.c:110:11: PUGH/SetupPGV.c:655:9: warning: ‘free’ called on pointer ‘this’ with nonzero offset 104 [-Wfree-nonheap-object] 655 | free(this->ownership); | ^~~~~~~~~~~~~~~~~~~~~ PUGH/SetupPGV.c: In function ‘PUGH_SetupPGExtras’: PUGH/SetupPGV.c:105:10: note: returned from ‘malloc’ 105 | this = malloc(sizeof(pGExtras)); | ^~~~~~~~~~~~~~~~~~~~~~~~ In function ‘PUGH_SetupPGExtrasMemory’, inlined from ‘PUGH_SetupPGExtras’ at PUGH/SetupPGV.c:110:11: PUGH/SetupPGV.c:656:9: warning: ‘free’ called on pointer ‘this’ with nonzero offset 120 [-Wfree-nonheap-object] 656 | free(this->ghosts); | ^~~~~~~~~~~~~~~~~~ PUGH/SetupPGV.c: In function ‘PUGH_SetupPGExtras’: PUGH/SetupPGV.c:105:10: note: returned from ‘malloc’ 105 | this = malloc(sizeof(pGExtras)); | ^~~~~~~~~~~~~~~~~~~~~~~~ In function ‘PUGH_SetupPGExtrasMemory’, inlined from ‘PUGH_SetupPGExtras’ at PUGH/SetupPGV.c:110:11: PUGH/SetupPGV.c:657:9: warning: ‘free’ called on pointer ‘this’ with nonzero offset 136 [-Wfree-nonheap-object] 657 | free(this->overlap); | ^~~~~~~~~~~~~~~~~~~ PUGH/SetupPGV.c: In function ‘PUGH_SetupPGExtras’: PUGH/SetupPGV.c:105:10: note: returned from ‘malloc’ 105 | this = malloc(sizeof(pGExtras)); | ^~~~~~~~~~~~~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Storage.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Storage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Topology.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Topology.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PUGH/Vectors.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Vectors.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHInterp/InterpGridArrays.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHInterp/InterpGridArrays.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHInterp/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHInterp/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHInterp/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHInterp/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReduceArraysGlobally.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReduceArraysGlobally.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReduceGA.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReduceGA.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/Reduction.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/Reduction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionAvg.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionAvg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionCount.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionCount.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionMax.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionMax.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionMin.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionMin.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionNorm1.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionNorm1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionNorm2.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionNorm2.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionNorm3.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionNorm3.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionNorm4.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionNorm4.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionNormInf.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionNormInf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionSum.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionSum.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHSlab/DatatypeConversion.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHSlab/DatatypeConversion.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHSlab/GetHyperslab.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHSlab/GetHyperslab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHSlab/Mapping.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHSlab/Mapping.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHSlab/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHSlab/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o StaticConformal/ConfPhys.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StaticConformal/ConfPhys.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o StaticConformal/Initialise.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StaticConformal/Initialise.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o StaticConformal/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StaticConformal/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Check.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Check.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Faces.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Faces.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Handles.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Handles.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Interpolation.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Interpolation.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Statistics.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Statistics.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Table.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Table.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Time/Courant.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Time/Courant.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Time/Given.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Time/Given.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Time/Initialise.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Time/Initialise.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Time/Simple.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Time/Simple.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Time/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Time/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o TmunuBase/CopyTmunu.o -Iinclude -O2 -mtune=generic -fopenmp TmunuBase/CopyTmunu.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o TmunuBase/SetStressEnergyState.o -Iinclude -O2 -mtune=generic -fopenmp TmunuBase/SetStressEnergyState.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o TmunuBase/SetTmunu.o -Iinclude -O2 -mtune=generic -fopenmp TmunuBase/SetTmunu.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o TmunuBase/ZeroTmunu.o -Iinclude -O2 -mtune=generic -fopenmp TmunuBase/ZeroTmunu.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o TmunuBase/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TmunuBase/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o datestamp.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 datestamp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP gnu/getopt.o gnu/getopt1.o gnu/regex.o ADMBase/InitSymBound.o ADMBase/Initialisation.o ADMBase/ParamCheck.o ADMBase/Static.o ADMBase/cctk_Bindings/cctk_ThornBindings.o ADMCoupling/cctk_Bindings/cctk_ThornBindings.o ADMMacros/SetLocalSpatialOrder.o ADMMacros/cctk_Bindings/cctk_ThornBindings.o Boundary/Boundary.o Boundary/Check.o Boundary/CopyBoundary.o Boundary/FlatBoundary.o Boundary/NoneBoundary.o Boundary/RadiationBoundary.o Boundary/Register.o Boundary/RobinBoundary.o Boundary/ScalarBoundary.o Boundary/StaticBoundary.o Boundary/cctk_Bindings/cctk_ThornBindings.o Cactus/IO/FortranBindingsIO.o Cactus/IO/IOMethods.o Cactus/IO/OverloadIO.o Cactus/cctk_Bindings/cctk_ThornBindings.o Cactus/comm/CactusDefaultComm.o Cactus/comm/CactusSync.o Cactus/comm/Interp.o Cactus/comm/OverloadComm.o Cactus/comm/Reduction.o Cactus/main/ActiveThorns.o Cactus/main/Banner.o Cactus/main/CactusD efaultEvolve.o Cactus/main/CactusDefaultInitialise.o Cactus/main/CactusDefaultMainLoopIndex.o Cactus/main/CactusDefaultShutdown.o Cactus/main/CallStartupFunctions.o Cactus/main/CommandLine.o Cactus/main/Complex.o Cactus/main/ConfigData.o Cactus/main/Coord.o Cactus/main/DebugDefines.o Cactus/main/DefaultTimers.o Cactus/main/Dummies.o Cactus/main/FortranWrappers.o Cactus/main/GHExtensions.o Cactus/main/Groups.o Cactus/main/GroupsOnGH.o Cactus/main/InitialiseCactus.o Cactus/main/InitialiseDataStructures.o Cactus/main/MainUtils.o Cactus/main/Names.o Cactus/main/OverloadMain.o Cactus/main/Parameters.o Cactus/main/ProcessCommandLine.o Cactus/main/ProcessEnvironment.o Cactus/main/ProcessParameterDatabase.o Cactus/main/ScheduleInterface.o Cactus/main/SetParams.o Cactus/main/SetupCache.o Cactus/main/ShutdownCactus.o Cactus/main/Subsystems.o Cactus/main/Termination.o Cactus/main/Traverse.o Cactus/main/WarnLevel.o Cactus/main/flesh.o Cactus/piraha/AutoGrammar.o Cactus/piraha/Boundary.o Cactus/piraha/Bracket.o Cactus /piraha/Call.o Cactus/piraha/Dot.o Cactus/piraha/End.o Cactus/piraha/Grammar.o Cactus/piraha/Group.o Cactus/piraha/ILiteral.o Cactus/piraha/Literal.o Cactus/piraha/Lookup.o Cactus/piraha/Matcher.o Cactus/piraha/Multi.o Cactus/piraha/NegLookAhead.o Cactus/piraha/Or.o Cactus/piraha/ReParse.o Cactus/piraha/Seq.o Cactus/piraha/Start.o Cactus/piraha/smart_ptr.o Cactus/schedule/ScheduleCreater.o Cactus/schedule/ScheduleSorter.o Cactus/schedule/ScheduleTraverse.o Cactus/util/Cache.o Cactus/util/CactusTimers.o Cactus/util/Expression.o Cactus/util/File.o Cactus/util/Hash.o Cactus/util/Malloc.o Cactus/util/Math.o Cactus/util/Misc.o Cactus/util/Network.o Cactus/util/ParseFile.o Cactus/util/RegisterKeyedFunction.o Cactus/util/SKBinTree.o Cactus/util/StoreHandledData.o Cactus/util/StoreKeyedData.o Cactus/util/StoreNamedData.o Cactus/util/String.o Cactus/util/StringList.o Cactus/util/Table.o Cactus/util/Time.o Cactus/util/snprintf.o CactusBindings/Functions/ADMBase_Functions.o CactusBindings/Functions/AliasedFunctions. o CactusBindings/Functions/Boundary_Functions.o CactusBindings/Functions/CartGrid3D_Functions.o CactusBindings/Functions/CoordBase_Functions.o CactusBindings/Functions/GaugeWave_Functions.o CactusBindings/Functions/GenericFD_Functions.o CactusBindings/Functions/IOASCII_Functions.o CactusBindings/Functions/IOBasic_Functions.o CactusBindings/Functions/IOUtil_Functions.o CactusBindings/Functions/IsFunctionAliased.o CactusBindings/Functions/ML_BSSN_Functions.o CactusBindings/Functions/ML_BSSN_Helper_Functions.o CactusBindings/Functions/MoL_Functions.o CactusBindings/Functions/PUGHInterp_Functions.o CactusBindings/Functions/PUGHSlab_Functions.o CactusBindings/Functions/RegisterThornFunctions.o CactusBindings/Functions/StaticConformal_Functions.o CactusBindings/Functions/SymBase_Functions.o CactusBindings/Implementations/ImplementationBindings.o CactusBindings/Parameters/ADMBase_Parameters.o CactusBindings/Parameters/ADMCoupling_Parameters.o CactusBindings/Parameters/ADMMacros_Parameters.o CactusBindings/Parame ters/BindingsParameters.o CactusBindings/Parameters/Boundary_Parameters.o CactusBindings/Parameters/Cactus_Parameters.o CactusBindings/Parameters/CartGrid3D_Parameters.o CactusBindings/Parameters/CoordBase_Parameters.o CactusBindings/Parameters/CoordGauge_Parameters.o CactusBindings/Parameters/Fortran_Parameters.o CactusBindings/Parameters/GaugeWave_Parameters.o CactusBindings/Parameters/GenericFD_Parameters.o CactusBindings/Parameters/Global.o CactusBindings/Parameters/IOASCII_Parameters.o CactusBindings/Parameters/IOBasic_Parameters.o CactusBindings/Parameters/IOUtil_Parameters.o CactusBindings/Parameters/LocalReduce_Parameters.o CactusBindings/Parameters/ML_BSSN_Helper_Parameters.o CactusBindings/Parameters/ML_BSSN_Parameters.o CactusBindings/Parameters/MoL_Parameters.o CactusBindings/Parameters/PUGHInterp_Parameters.o CactusBindings/Parameters/PUGHReduce_Parameters.o CactusBindings/Parameters/PUGHSlab_Parameters.o CactusBindings/Parameters/PUGH_Parameters.o CactusBindings/Parameters/StaticConformal_Pa rameters.o CactusBindings/Parameters/SymBase_Parameters.o CactusBindings/Parameters/Time_Parameters.o CactusBindings/Parameters/TmunuBase_Parameters.o CactusBindings/Schedule/BindingsParameterRecovery.o CactusBindings/Schedule/BindingsSchedule.o CactusBindings/Schedule/ScheduleADMBase.o CactusBindings/Schedule/ScheduleADMCoupling.o CactusBindings/Schedule/ScheduleADMMacros.o CactusBindings/Schedule/ScheduleBoundary.o CactusBindings/Schedule/ScheduleCactus.o CactusBindings/Schedule/ScheduleCartGrid3D.o CactusBindings/Schedule/ScheduleCoordBase.o CactusBindings/Schedule/ScheduleCoordGauge.o CactusBindings/Schedule/ScheduleFortran.o CactusBindings/Schedule/ScheduleGaugeWave.o CactusBindings/Schedule/ScheduleGenericFD.o CactusBindings/Schedule/ScheduleIOASCII.o CactusBindings/Schedule/ScheduleIOBasic.o CactusBindings/Schedule/ScheduleIOUtil.o CactusBindings/Schedule/ScheduleLocalReduce.o CactusBindings/Schedule/ScheduleML_BSSN.o CactusBindings/Schedule/ScheduleML_BSSN_Helper.o CactusBindings/Schedule/Schedule MoL.o CactusBindings/Schedule/SchedulePUGH.o CactusBindings/Schedule/SchedulePUGHInterp.o CactusBindings/Schedule/SchedulePUGHReduce.o CactusBindings/Schedule/SchedulePUGHSlab.o CactusBindings/Schedule/ScheduleStaticConformal.o CactusBindings/Schedule/ScheduleSymBase.o CactusBindings/Schedule/ScheduleTime.o CactusBindings/Schedule/ScheduleTmunuBase.o CactusBindings/Variables/ADMBase.o CactusBindings/Variables/ADMCoupling.o CactusBindings/Variables/ADMMacros.o CactusBindings/Variables/BindingsVariables.o CactusBindings/Variables/Boundary.o CactusBindings/Variables/Cactus.o CactusBindings/Variables/CartGrid3D.o CactusBindings/Variables/CoordBase.o CactusBindings/Variables/CoordGauge.o CactusBindings/Variables/Fortran.o CactusBindings/Variables/GaugeWave.o CactusBindings/Variables/GenericFD.o CactusBindings/Variables/IOASCII.o CactusBindings/Variables/IOBasic.o CactusBindings/Variables/IOUtil.o CactusBindings/Variables/LocalReduce.o CactusBindings/Variables/ML_BSSN.o CactusBindings/Variables/ML_BSSN_Helper.o CactusBindings/Variables/MoL.o CactusBindings/Variables/PUGH.o CactusBindings/Variables/PUGHInterp.o CactusBindings/Variables/PUGHReduce.o CactusBindings/Variables/PUGHSlab.o CactusBindings/Variables/StaticConformal.o CactusBindings/Variables/SymBase.o CactusBindings/Variables/Time.o CactusBindings/Variables/TmunuBase.o CartGrid3D/CartGrid3D.o CartGrid3D/DecodeSymParameters.o CartGrid3D/GetSymmetry.o CartGrid3D/ParamCheck.o CartGrid3D/RegisterSymmetries.o CartGrid3D/SetSymmetry.o CartGrid3D/Startup.o CartGrid3D/Symmetry.o CartGrid3D/cctk_Bindings/cctk_ThornBindings.o CoordBase/CoordBase.o CoordBase/Domain.o CoordBase/GHExtension.o CoordBase/cctk_Bindings/cctk_ThornBindings.o CoordGauge/Slicing.o CoordGauge/cctk_Bindings/cctk_ThornBindings.o Fortran/cctk.o Fortran/cctk_ActiveThorns.o Fortran/cctk_Banner.o Fortran/cctk_Bindings/cctk_ThornBindings.o Fortran/cctk_Cache.o Fortran/cctk_Comm.o Fortran/cctk_CommandLine.o Fortran/cctk_Complex.o Fortran/cctk_Constants.o Fortran/cctk_Coord.o Fortran/cctk_DebugDefin es.o Fortran/cctk_Faces.o Fortran/cctk_File.o Fortran/cctk_Flesh.o Fortran/cctk_FortranString1.o Fortran/cctk_FortranWrappers.o Fortran/cctk_GHExtensions.o Fortran/cctk_GNU.o Fortran/cctk_Groups.o Fortran/cctk_GroupsOnGH.o Fortran/cctk_IO.o Fortran/cctk_IOMethods.o Fortran/cctk_Interp.o Fortran/cctk_Main.o Fortran/cctk_Malloc1.o Fortran/cctk_Math.o Fortran/cctk_MemAlloc.o Fortran/cctk_Misc.o Fortran/cctk_ParamCheck.o Fortran/cctk_Parameter.o Fortran/cctk_Reduction.o Fortran/cctk_Schedule.o Fortran/cctk_Sync.o Fortran/cctk_Termination.o Fortran/cctk_Timers.o Fortran/cctk_Types.o Fortran/cctk_Version.o Fortran/cctk_WarnLevel.o Fortran/paramcheck.o Fortran/util_Table.o GaugeWave/Boundaries.o GaugeWave/GaugeWave_always.o GaugeWave/GaugeWave_initial.o GaugeWave/ParamCheck.o GaugeWave/RegisterMoL.o GaugeWave/RegisterSymmetries.o GaugeWave/Startup.o GaugeWave/cctk_Bindings/cctk_ThornBindings.o GenericFD/GenericFD.o GenericFD/cctk_Bindings/cctk_ThornBindings.o IOASCII/ChooseOutput.o IOASCII/Output1D.o IOASCII/Out put2D.o IOASCII/Output3D.o IOASCII/Startup.o IOASCII/Write1D.o IOASCII/Write2D.o IOASCII/Write3D.o IOASCII/cctk_Bindings/cctk_ThornBindings.o IOBasic/OutputInfo.o IOBasic/OutputScalar.o IOBasic/Startup.o IOBasic/WriteInfo.o IOBasic/WriteScalar.o IOBasic/cctk_Bindings/cctk_ThornBindings.o IOUtil/AdvertisedFiles.o IOUtil/CheckpointRecovery.o IOUtil/Startup.o IOUtil/Utils.o IOUtil/cctk_Bindings/cctk_ThornBindings.o LocalReduce/AvgFunctions.o LocalReduce/CountFunctions.o LocalReduce/MaxFunctions.o LocalReduce/MinFunctions.o LocalReduce/Norm1Functions.o LocalReduce/Norm2Functions.o LocalReduce/Norm3Functions.o LocalReduce/Norm4Functions.o LocalReduce/NormInfFunctions.o LocalReduce/Reduction.o LocalReduce/ReductionAvg.o LocalReduce/ReductionCount.o LocalReduce/ReductionMax.o LocalReduce/ReductionMin.o LocalReduce/ReductionNorm1.o LocalReduce/ReductionNorm2.o LocalReduce/ReductionNorm3.o LocalReduce/ReductionNorm4.o LocalReduce/ReductionNormInf.o LocalReduce/ReductionSum.o LocalReduce/Startup.o LocalReduce/SumFu nctions.o LocalReduce/cctk_Bindings/cctk_ThornBindings.o ML_BSSN/Boundaries.o ML_BSSN/ML_BSSN_Advect.o ML_BSSN/ML_BSSN_Dissipation.o ML_BSSN/ML_BSSN_InitGamma.o ML_BSSN/ML_BSSN_InitRHS.o ML_BSSN/ML_BSSN_Minkowski.o ML_BSSN/ML_BSSN_RHS.o ML_BSSN/ML_BSSN_RHSStaticBoundary.o ML_BSSN/ML_BSSN_boundary.o ML_BSSN/ML_BSSN_constraints.o ML_BSSN/ML_BSSN_convertFromADMBase.o ML_BSSN/ML_BSSN_convertFromADMBaseGamma.o ML_BSSN/ML_BSSN_convertToADMBase.o ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShift.o ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShiftBoundary.o ML_BSSN/ML_BSSN_convertToADMBaseFakeDtLapseShift.o ML_BSSN/ML_BSSN_enforce.o ML_BSSN/RegisterMoL.o ML_BSSN/RegisterSymmetries.o ML_BSSN/Startup.o ML_BSSN/cctk_Bindings/cctk_ThornBindings.o ML_BSSN_Helper/ExtrapolateGammas.o ML_BSSN_Helper/NewRad.o ML_BSSN_Helper/RegisterConstrained.o ML_BSSN_Helper/RegisterSlicing.o ML_BSSN_Helper/SelectBCsADMBase.o ML_BSSN_Helper/SetGroupTags.o ML_BSSN_Helper/cctk_Bindings/cctk_ThornBindings.o MoL/AB.o MoL/ChangeType.o MoL/Counter.o Mo L/Euler.o MoL/GenericRK.o MoL/ICN.o MoL/IndexArrays.o MoL/InitialCopy.o MoL/Operators.o MoL/ParamCheck.o MoL/RHSNaNCheck.o MoL/RK2-MR-2_1.o MoL/RK2.o MoL/RK3.o MoL/RK4-MR-2_1.o MoL/RK4-RK2.o MoL/RK4.o MoL/RK45.o MoL/RK65.o MoL/RK87.o MoL/RKCoefficients.o MoL/Registration.o MoL/SandR.o MoL/SetTime.o MoL/Startup.o MoL/StepSize.o MoL/cctk_Bindings/cctk_ThornBindings.o PUGH/Comm.o PUGH/Evolve.o PUGH/FinishReceiveGA.o PUGH/GHExtension.o PUGH/LoadAware.o PUGH/Overloadables.o PUGH/P2LMappings.o PUGH/PostReceiveGA.o PUGH/PostSendGA.o PUGH/PughUtils.o PUGH/Registration.o PUGH/SetupGroup.o PUGH/SetupPGH.o PUGH/SetupPGV.o PUGH/Startup.o PUGH/Storage.o PUGH/Topology.o PUGH/Vectors.o PUGH/cctk_Bindings/cctk_ThornBindings.o PUGHInterp/InterpGridArrays.o PUGHInterp/Startup.o PUGHInterp/cctk_Bindings/cctk_ThornBindings.o PUGHReduce/ReduceArraysGlobally.o PUGHReduce/ReduceGA.o PUGHReduce/Reduction.o PUGHReduce/ReductionAvg.o PUGHReduce/ReductionCount.o PUGHReduce/ReductionMax.o PUGHReduce/ReductionMin.o PUGHReduce/Reducti onNorm1.o PUGHReduce/ReductionNorm2.o PUGHReduce/ReductionNorm3.o PUGHReduce/ReductionNorm4.o PUGHReduce/ReductionNormInf.o PUGHReduce/ReductionSum.o PUGHReduce/Startup.o PUGHReduce/cctk_Bindings/cctk_ThornBindings.o PUGHSlab/DatatypeConversion.o PUGHSlab/GetHyperslab.o PUGHSlab/Mapping.o PUGHSlab/cctk_Bindings/cctk_ThornBindings.o StaticConformal/ConfPhys.o StaticConformal/Initialise.o StaticConformal/cctk_Bindings/cctk_ThornBindings.o SymBase/Check.o SymBase/Faces.o SymBase/Handles.o SymBase/Interpolation.o SymBase/Startup.o SymBase/Statistics.o SymBase/Table.o SymBase/cctk_Bindings/cctk_ThornBindings.o Time/Courant.o Time/Given.o Time/Initialise.o Time/Simple.o Time/cctk_Bindings/cctk_ThornBindings.o TmunuBase/CopyTmunu.o TmunuBase/SetStressEnergyState.o TmunuBase/SetTmunu.o TmunuBase/ZeroTmunu.o TmunuBase/cctk_Bindings/cctk_ThornBindings.o datestamp.o -o cactuBSSN_s Stop make command: 2021-07-08 14:50:01 (1625770201.05626) Elapsed time for make command: 00:01:27 (87.426295042038) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 14:50:01 (1625770201.0597) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Iinclude -DCCODE" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Iinclude -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-Iinclude -DFCODE" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 14:50:01 (1625770201.22067) Elapsed time for options command: 00:00:00 (0.160973072052002) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 14:50:01 (1625770201.22468) CXXC_VERSION_OPTION: CC_VERSION_OPTION: FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 14:50:01 (1625770201.39726) Elapsed time for compiler-version command: 00:00:00 (0.172575950622559) Compile for '607.cactuBSSN_s' ended at: 2021-07-08 14:50:01 (1625770201) Elapsed compile for '607.cactuBSSN_s': 00:01:28 (88) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Iinclude -DCCODE" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Iinclude -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-Iinclude -DFCODE" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: CC_VERSION_OPTION: FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: 0c959132fd36e6fce7ddcd688ee230ed627d138c4f2c71c76cf265b0af2f28b7) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DLARGE_WORKLOAD -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DLARGE_WORKLOAD" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: 0691eabfcb2b5f7a271468339a8efe3c6212f2f99836f01bb17ca811a6ec4d32) Building 619.lbm_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:50:02] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=619 NAME=lbm_s SOURCES= lbm.c main.c EXEBASE=lbm_s NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -DLARGE_WORKLOAD CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = 1e-07 action = build allow_label_override = 0 backup_config = 1 baseexe = lbm_s basepeak = 0 benchdir = benchspec benchmark = 619.lbm_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = lbm_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = lbm_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 619 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/519.lbm_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.904000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = lbm.out Compile for '619.lbm_s' started at: 2021-07-08 14:50:02 (1625770202) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 14:50:02 (1625770202.28675) rm -rf *.o lbm.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf lbm_s rm -rf lbm_s.exe rm -rf core Stop make.clean command: 2021-07-08 14:50:02 (1625770202.44826) Elapsed time for make.clean command: 00:00:00 (0.161512851715088) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 14:50:02 (1625770202.45122) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lbm.o -DSPEC -DNDEBUG -DLARGE_WORKLOAD -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 lbm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o main.o -DSPEC -DNDEBUG -DLARGE_WORKLOAD -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 main.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP lbm.o main.o -lm -o lbm_s Stop make command: 2021-07-08 14:50:02 (1625770202.94678) Elapsed time for make command: 00:00:00 (0.49556303024292) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 14:50:02 (1625770202.94974) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DLARGE_WORKLOAD -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DLARGE_WORKLOAD" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options command: 2021-07-08 14:50:03 (1625770203.11034) Elapsed time for options command: 00:00:00 (0.160598993301392) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 14:50:03 (1625770203.11367) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 14:50:03 (1625770203.27796) Elapsed time for compiler-version command: 00:00:00 (0.164292097091675) Compile for '619.lbm_s' ended at: 2021-07-08 14:50:03 (1625770203) Elapsed compile for '619.lbm_s': 00:00:01 (1) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DLARGE_WORKLOAD -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DLARGE_WORKLOAD" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: 4aa96e16b7f035d64ba942988a780b736159383efee872701407c7b97aec20a6) FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: 6d1e64ccb5840af71a9b386e13c8a47b50c2949d53f5301021a8e2fc749d8fec) Building 621.wrf_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 14:50:04] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/build/build_base_x86_64-m64.0000/Makefile.diffwrf_621.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename netcdf/netcdf.f90)): netcdf/netcdf.f90 $(addsuffix $(OBJ),$(basename netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), $(basename netcdf/netcdf_test.f90)): netcdf/netcdf_test.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90 netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), ESMF_Alarm.fppized): ESMF_Alarm.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_AlarmClock.fppized): ESMF_AlarmClock.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_Clock.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_BaseTime.fppized): ESMF_BaseTime.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized) $(addsuffix $(OBJ), ESMF_Calendar.fppized): ESMF_Calendar.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_BaseTime.fppized) $(addsuffix $(OBJ), ESMF_Clock.fppized): ESMF_Clock.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_Base.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_Mod.fppized): ESMF_Mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_AlarmClock.fppized ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Clock.fppized ESMF_Fraction.fppized ESMF_Stubs.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_Stubs.fppized): ESMF_Stubs.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_Calendar.fppized) $(addsuffix $(OBJ), ESMF_Time.fppized): ESMF_Time.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Stubs.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_TimeInterval.fppized): ESMF_TimeInterval.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Fraction.fppized) $(addsuffix $(OBJ), Meat.fppized): Meat.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Clock.fppized ESMF_Fraction.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), adapt_timestep_em.fppized): adapt_timestep_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_em.fppized module_configure.fppized module_dm.fppized module_domain.fppized) $(addsuffix $(OBJ), couple_or_uncouple_em.fppized): couple_or_uncouple_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_state_description.fppized module_tiles.fppized) $(addsuffix $(OBJ), dfi.fppized): dfi.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_io_domain.fppized module_machine.fppized module_model_constants.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), diffwrf.fppized): diffwrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) wrf_io.fppized) $(addsuffix $(OBJ), f_xpose.fppized): f_xpose.fppized.f90 $(addsuffix $(OBJ),$(basename ) f_pack.fppized) $(addsuffix $(OBJ), field_routines.fppized): field_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) wrf_io.fppized) $(addsuffix $(OBJ), init_modules.fppized): init_modules.fppized.f90 $(addsuffix $(OBJ),$(basename ) io_int.fppized module_bc.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_io.fppized module_io_quilt.fppized module_io_wrf.fppized module_machine.fppized module_model_constants.fppized module_nesting.fppized module_tiles.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), input_wrf.fppized): input_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_date_time.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), interp_domain_em.fppized): interp_domain_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_state_description.fppized) $(addsuffix $(OBJ), interp_fcn.fppized): interp_fcn.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_interp_store.fppized module_model_constants.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), io_int.fppized): io_int.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_internal_header_util.fppized) $(addsuffix $(OBJ), mediation_feedback_domain.fppized): mediation_feedback_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_domain.fppized module_intermediate_nmm.fppized module_timing.fppized) $(addsuffix $(OBJ), mediation_force_domain.fppized): mediation_force_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_intermediate_nmm.fppized module_timing.fppized) $(addsuffix $(OBJ), mediation_integrate.fppized): mediation_integrate.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_io_domain.fppized module_model_constants.fppized module_state_description.fppized module_streams.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), mediation_interp_domain.fppized): mediation_interp_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_timing.fppized) $(addsuffix $(OBJ), mediation_nest_move.fppized): mediation_nest_move.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_compute_geop.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_model_constants.fppized module_state_description.fppized module_streams.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), mediation_wrfmain.fppized): mediation_wrfmain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_domain.fppized module_io.fppized module_io_domain.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), module_advect_em.fppized): module_advect_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_after_all_rk_steps.fppized): module_after_all_rk_steps.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_diagnostics_driver.fppized module_dm.fppized module_domain.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_alloc_space_0.fppized): module_alloc_space_0.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_1.fppized): module_alloc_space_1.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_2.fppized): module_alloc_space_2.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_3.fppized): module_alloc_space_3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_4.fppized): module_alloc_space_4.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_5.fppized): module_alloc_space_5.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_6.fppized): module_alloc_space_6.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_7.fppized): module_alloc_space_7.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_8.fppized): module_alloc_space_8.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_9.fppized): module_alloc_space_9.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_avgflx_em.fppized): module_avgflx_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bc.fppized): module_bc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bc_em.fppized): module_bc_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_configure.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bc_time_utilities.fppized): module_bc_time_utilities.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_utility.fppized) $(addsuffix $(OBJ), module_big_step_utilities_em.fppized): module_big_step_utilities_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bl_camuwpbl_driver.fppized): module_bl_camuwpbl_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_bl_diffusion_solver.fppized module_cam_bl_eddy_diff.fppized module_cam_constituents.fppized module_cam_molec_diff.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_trb_mtn_stress.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_fogdes.fppized): module_bl_fogdes.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_mynn.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_gbmpbl.fppized): module_bl_gbmpbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_gfs.fppized): module_bl_gfs.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_bl_gfs2011.fppized): module_bl_gfs2011.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_bl_mfshconvpbl.fppized): module_bl_mfshconvpbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_myjpbl.fppized): module_bl_myjpbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_myjurb.fppized): module_bl_myjurb.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_mynn.fppized): module_bl_mynn.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_bl_qnsepbl.fppized): module_bl_qnsepbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_qnsepbl09.fppized): module_bl_qnsepbl09.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_cam_bl_diffusion_solver.fppized): module_cam_bl_diffusion_solver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_bl_eddy_diff.fppized): module_cam_bl_eddy_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_bl_diffusion_solver.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_cldwat.fppized): module_cam_cldwat.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cam_constituents.fppized): module_cam_constituents.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_esinti.fppized): module_cam_esinti.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cam_gffgch.fppized): module_cam_gffgch.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_infnan.fppized): module_cam_infnan.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized) $(addsuffix $(OBJ), module_cam_molec_diff.fppized): module_cam_molec_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_support.fppized module_cam_upper_bc.fppized) $(addsuffix $(OBJ), module_cam_mp_cldwat2m_micro.fppized): module_cam_mp_cldwat2m_micro.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cam_mp_conv_water.fppized): module_cam_mp_conv_water.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_mp_microp_aero.fppized): module_cam_mp_microp_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_error_function.fppized module_cam_mp_ndrop.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_cam_mp_modal_aero_initialize_data_phys.fppized): module_cam_mp_modal_aero_initialize_data_phys.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_cam_mp_ndrop.fppized): module_cam_mp_ndrop.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_error_function.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_cam_mp_qneg3.fppized): module_cam_mp_qneg3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_mp_radconstants.fppized): module_cam_mp_radconstants.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_physconst.fppized): module_cam_physconst.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_const_mod.fppized module_cam_shr_kind_mod.fppized) $(addsuffix $(OBJ), module_cam_shr_const_mod.fppized): module_cam_shr_const_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized) $(addsuffix $(OBJ), module_cam_support.fppized): module_cam_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_cam_trb_mtn_stress.fppized): module_cam_trb_mtn_stress.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_upper_bc.fppized): module_cam_upper_bc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_wv_saturation.fppized): module_cam_wv_saturation.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_gffgch.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_check_a_mundo.fppized): module_check_a_mundo.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_comm_dm.fppized): module_comm_dm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm_0.fppized module_comm_dm_1.fppized module_comm_dm_2.fppized module_comm_dm_3.fppized module_comm_dm_4.fppized module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_0.fppized): module_comm_dm_0.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_1.fppized): module_comm_dm_1.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_2.fppized): module_comm_dm_2.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_3.fppized): module_comm_dm_3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_4.fppized): module_comm_dm_4.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_nesting_dm.fppized): module_comm_nesting_dm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_configure.fppized): module_configure.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain_type.fppized module_driver_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_cpl.fppized): module_cpl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cpl_oasis3.fppized module_domain.fppized module_driver_constants.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_cpl_oasis3.fppized): module_cpl_oasis3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_driver_constants.fppized) $(addsuffix $(OBJ), module_cu_bmj.fppized): module_cu_bmj.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_cu_camzm.fppized): module_cu_camzm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_cldwat.fppized module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cu_camzm_driver.fppized): module_cu_camzm_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_cu_camzm.fppized module_data_cam_mam_asect.fppized module_model_constants.fppized module_mp_cammgmp_driver.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_cu_kf.fppized): module_cu_kf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_cu_kfeta.fppized): module_cu_kfeta.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_cu_mesosas.fppized): module_cu_mesosas.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_cu_osas.fppized): module_cu_osas.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_cu_sas.fppized): module_cu_sas.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_cumulus_driver.fppized): module_cumulus_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_cu_bmj.fppized module_cu_camzm_driver.fppized module_cu_g3.fppized module_cu_gd.fppized module_cu_gf.fppized module_cu_kf.fppized module_cu_kfeta.fppized module_cu_mesosas.fppized module_cu_nsas.fppized module_cu_osas.fppized module_cu_sas.fppized module_cu_tiedtke.fppized module_dm.fppized module_domain.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_damping_em.fppized): module_damping_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_data_cam_mam_aero.fppized): module_data_cam_mam_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_mp_radconstants.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_data_cam_mam_asect.fppized): module_data_cam_mam_asect.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_date_time.fppized): module_date_time.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_diag_afwa.fppized): module_diag_afwa.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_diag_afwa_hail.fppized module_dm.fppized module_domain.fppized module_model_constants.fppized module_state_description.fppized module_streams.fppized module_utility.fppized) $(addsuffix $(OBJ), module_diag_cl.fppized): module_diag_cl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized) $(addsuffix $(OBJ), module_diag_misc.fppized): module_diag_misc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized) $(addsuffix $(OBJ), module_diag_pld.fppized): module_diag_pld.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_diagnostics_driver.fppized): module_diagnostics_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_diag_afwa.fppized module_diag_cl.fppized module_diag_misc.fppized module_diag_pld.fppized module_domain.fppized module_driver_constants.fppized module_lightning_driver.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_diffusion_em.fppized): module_diffusion_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_big_step_utilities_em.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_dm.fppized): module_dm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_comm_dm_3.fppized module_comm_nesting_dm.fppized module_configure.fppized module_cpl.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_state_description.fppized module_timing.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_domain.fppized): module_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_alloc_space_0.fppized module_alloc_space_1.fppized module_alloc_space_2.fppized module_alloc_space_3.fppized module_alloc_space_4.fppized module_alloc_space_5.fppized module_alloc_space_6.fppized module_alloc_space_7.fppized module_alloc_space_8.fppized module_alloc_space_9.fppized module_configure.fppized module_domain_type.fppized module_driver_constants.fppized module_machine.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_domain_type.fppized): module_domain_type.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_driver_constants.fppized module_streams.fppized module_utility.fppized) $(addsuffix $(OBJ), module_em.fppized): module_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_advect_em.fppized module_big_step_utilities_em.fppized module_configure.fppized module_damping_em.fppized module_dm.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_fdda_psufddagd.fppized): module_fdda_psufddagd.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_fdda_spnudging.fppized): module_fdda_spnudging.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_fddagd_driver.fppized): module_fddagd_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_fdda_psufddagd.fppized module_fdda_spnudging.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_fddaobs_driver.fppized): module_fddaobs_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_fddaobs_rtfdda.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fddaobs_rtfdda.fppized): module_fddaobs_rtfdda.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_first_rk_step_part1.fppized): module_first_rk_step_part1.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_big_step_utilities_em.fppized module_comm_dm.fppized module_configure.fppized module_convtrans_prep.fppized module_cumulus_driver.fppized module_dm.fppized module_domain.fppized module_em.fppized module_fddagd_driver.fppized module_force_scm.fppized module_fr_fire_driver_wrf.fppized module_model_constants.fppized module_pbl_driver.fppized module_radiation_driver.fppized module_shallowcu_driver.fppized module_state_description.fppized module_surface_driver.fppized module_utility.fppized) $(addsuffix $(OBJ), module_first_rk_step_part2.fppized): module_first_rk_step_part2.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_diffusion_em.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_em.fppized module_fddaobs_driver.fppized module_model_constants.fppized module_physics_addtendc.fppized module_state_description.fppized module_stoch.fppized) $(addsuffix $(OBJ), module_force_scm.fppized): module_force_scm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_init_utilities.fppized) $(addsuffix $(OBJ), module_fr_fire_atm.fppized): module_fr_fire_atm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_util.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fr_fire_core.fppized): module_fr_fire_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_phys.fppized module_fr_fire_util.fppized) $(addsuffix $(OBJ), module_fr_fire_driver.fppized): module_fr_fire_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_fr_fire_core.fppized module_fr_fire_model.fppized module_fr_fire_phys.fppized module_fr_fire_util.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fr_fire_driver_wrf.fppized): module_fr_fire_driver_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_fr_fire_atm.fppized module_fr_fire_driver.fppized module_fr_fire_util.fppized) $(addsuffix $(OBJ), module_fr_fire_model.fppized): module_fr_fire_model.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_core.fppized module_fr_fire_phys.fppized module_fr_fire_util.fppized) $(addsuffix $(OBJ), module_fr_fire_phys.fppized): module_fr_fire_phys.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_util.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fr_fire_util.fppized): module_fr_fire_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_gfs_funcphys.fppized): module_gfs_funcphys.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_gfs_physcons.fppized): module_gfs_physcons.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized) $(addsuffix $(OBJ), module_initialize_real.fppized): module_initialize_real.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_io_domain.fppized module_llxy.fppized module_model_constants.fppized module_optional_input.fppized module_polarfft.fppized module_soil_pre.fppized module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), module_integrate.fppized): module_integrate.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cpl.fppized module_domain.fppized module_driver_constants.fppized module_nesting.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), module_intermediate_nmm.fppized): module_intermediate_nmm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), module_interp_store.fppized): module_interp_store.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain_type.fppized) $(addsuffix $(OBJ), module_io.fppized): module_io.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_driver_constants.fppized module_state_description.fppized module_streams.fppized) $(addsuffix $(OBJ), module_io_domain.fppized): module_io_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), module_io_quilt.fppized): module_io_quilt.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cpl.fppized module_dm.fppized module_internal_header_util.fppized module_quilt_outbuf_ops.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_io_wrf.fppized): module_io_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_date_time.fppized module_streams.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_lightning_driver.fppized): module_lightning_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_ltng_cpmpr92z.fppized module_ltng_crmpr92.fppized module_ltng_iccg.fppized module_ltng_lpi.fppized module_model_constants.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_llxy.fppized): module_llxy.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_ltng_cpmpr92z.fppized): module_ltng_cpmpr92z.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ltng_crmpr92.fppized): module_ltng_crmpr92.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ltng_iccg.fppized): module_ltng_iccg.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_utility.fppized) $(addsuffix $(OBJ), module_machine.fppized): module_machine.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_driver_constants.fppized) $(addsuffix $(OBJ), module_microphysics_driver.fppized): module_microphysics_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_data_cam_mam_aero.fppized module_domain.fppized module_mixactivate.fppized module_model_constants.fppized module_mp_HWRF.fppized module_mp_cammgmp_driver.fppized module_mp_etanew.fppized module_mp_etaold.fppized module_mp_fast_sbm.fppized module_mp_full_sbm.fppized module_mp_gsfcgce.fppized module_mp_kessler.fppized module_mp_lin.fppized module_mp_milbrandt2mom.fppized module_mp_morr_two_moment.fppized module_mp_nssl_2mom.fppized module_mp_sbu_ylin.fppized module_mp_thompson.fppized module_mp_wdm5.fppized module_mp_wdm6.fppized module_mp_wsm3.fppized module_mp_wsm5.fppized module_mp_wsm6.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_microphysics_zero_out.fppized): module_microphysics_zero_out.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_mixactivate.fppized): module_mixactivate.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_radiation_driver.fppized) $(addsuffix $(OBJ), module_mp_cammgmp_driver.fppized): module_mp_cammgmp_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_cldwat.fppized module_cam_constituents.fppized module_cam_mp_cldwat2m_micro.fppized module_cam_mp_conv_water.fppized module_cam_mp_microp_aero.fppized module_cam_mp_ndrop.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_configure.fppized module_data_cam_mam_aero.fppized module_data_cam_mam_asect.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_mp_fast_sbm.fppized): module_mp_fast_sbm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_mp_radar.fppized) $(addsuffix $(OBJ), module_mp_full_sbm.fppized): module_mp_full_sbm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_mp_radar.fppized) $(addsuffix $(OBJ), module_mp_gsfcgce.fppized): module_mp_gsfcgce.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_lin.fppized): module_mp_lin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_milbrandt2mom.fppized): module_mp_milbrandt2mom.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_mp_morr_two_moment.fppized): module_mp_morr_two_moment.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_model_constants.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_radar.fppized): module_mp_radar.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_mp_thompson.fppized): module_mp_thompson.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_mp_radar.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_mp_wdm5.fppized): module_mp_wdm5.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_wdm6.fppized): module_mp_wdm6.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_wsm5.fppized): module_mp_wsm5.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_wsm6.fppized): module_mp_wsm6.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_nesting.fppized): module_nesting.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_utility.fppized) $(addsuffix $(OBJ), module_optional_input.fppized): module_optional_input.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_io_domain.fppized module_io_wrf.fppized) $(addsuffix $(OBJ), module_pbl_driver.fppized): module_pbl_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_acm.fppized module_bl_boulac.fppized module_bl_camuwpbl_driver.fppized module_bl_fogdes.fppized module_bl_gbmpbl.fppized module_bl_gfs.fppized module_bl_gfs2011.fppized module_bl_gwdo.fppized module_bl_mfshconvpbl.fppized module_bl_mrf.fppized module_bl_myjpbl.fppized module_bl_myjurb.fppized module_bl_mynn.fppized module_bl_qnsepbl.fppized module_bl_qnsepbl09.fppized module_bl_temf.fppized module_bl_ysu.fppized module_model_constants.fppized module_state_description.fppized module_wind_fitch.fppized) $(addsuffix $(OBJ), module_physics_addtendc.fppized): module_physics_addtendc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cu_kf.fppized module_cu_kfeta.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_physics_init.fppized): module_physics_init.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_acm.fppized module_bl_boulac.fppized module_bl_camuwpbl_driver.fppized module_bl_gbmpbl.fppized module_bl_gfs.fppized module_bl_gfs2011.fppized module_bl_mfshconvpbl.fppized module_bl_mrf.fppized module_bl_myjpbl.fppized module_bl_myjurb.fppized module_bl_mynn.fppized module_bl_qnsepbl.fppized module_bl_qnsepbl09.fppized module_bl_temf.fppized module_bl_ysu.fppized module_cam_constituents.fppized module_cam_esinti.fppized module_cam_mp_modal_aero_initialize_data_phys.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_configure.fppized module_cu_bmj.fppized module_cu_camzm_driver.fppized module_cu_g3.fppized module_cu_gd.fppized module_cu_kf.fppized module_cu_kfeta.fppized module_cu_mesosas.fppized module_cu_nsas.fppized module_cu_osas.fppized module_cu_sas.fppized module_cu_tiedtke.fppized module_data_cam_mam_aero.fppized module_dom ain.fppized module_fdda_psufddagd.fppized module_fdda_spnudging.fppized module_fddaobs_rtfdda.fppized module_llxy.fppized module_model_constants.fppized module_mp_HWRF.fppized module_mp_cammgmp_driver.fppized module_mp_etanew.fppized module_mp_etaold.fppized module_mp_fast_sbm.fppized module_mp_full_sbm.fppized module_mp_milbrandt2mom.fppized module_mp_morr_two_moment.fppized module_mp_nssl_2mom.fppized module_mp_thompson.fppized module_mp_wdm5.fppized module_mp_wdm6.fppized module_mp_wsm3.fppized module_mp_wsm5.fppized module_mp_wsm6.fppized module_ra_HWRF.fppized module_ra_cam.fppized module_ra_cam_support.fppized module_ra_gfdleta.fppized module_ra_gsfcsw.fppized module_ra_hs.fppized module_ra_rrtm.fppized module_ra_sw.fppized module_sf_bep.fppized module_sf_bep_bem.fppized module_sf_clm.fppized module_sf_gfdl.fppized module_sf_lake.fppized module_sf_myjsfc.fppized module_sf_mynn.fppized module_sf_noahdrv.fppized module_sf_noahmpdrv.fppized module_sf_oml.fppized module_sf_pxlsm.fppized module_sf_pxsfcl ay.fppized module_sf_qnsesfc.fppized module_sf_ruclsm.fppized module_sf_sfclay.fppized module_sf_sfclayrev.fppized module_sf_slab.fppized module_sf_temfsfclay.fppized module_sf_urban.fppized module_shcu_camuwshcu.fppized module_shcu_grims.fppized module_state_description.fppized module_wind_fitch.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_polarfft.fppized): module_polarfft.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_progtm.fppized): module_progtm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized) $(addsuffix $(OBJ), module_quilt_outbuf_ops.fppized): module_quilt_outbuf_ops.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), module_ra_HWRF.fppized): module_ra_HWRF.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_mp_HWRF.fppized) $(addsuffix $(OBJ), module_ra_aerosol.fppized): module_ra_aerosol.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_cam.fppized): module_ra_cam.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_support.fppized module_ra_cam_support.fppized module_ra_clWRF_support.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_cam_support.fppized): module_ra_cam_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_support.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_clWRF_support.fppized): module_ra_clWRF_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_gfdleta.fppized): module_ra_gfdleta.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_mp_etanew.fppized) $(addsuffix $(OBJ), module_ra_rrtm.fppized): module_ra_rrtm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_ra_clWRF_support.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_radiation_driver.fppized): module_radiation_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_dm.fppized module_domain.fppized module_model_constants.fppized module_ra_HWRF.fppized module_ra_aerosol.fppized module_ra_cam.fppized module_ra_flg.fppized module_ra_gfdleta.fppized module_ra_goddard.fppized module_ra_gsfcsw.fppized module_ra_hs.fppized module_ra_rrtm.fppized module_ra_sw.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_bep.fppized): module_sf_bep.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_urban.fppized) $(addsuffix $(OBJ), module_sf_bep_bem.fppized): module_sf_bep_bem.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_bem.fppized module_sf_urban.fppized) $(addsuffix $(OBJ), module_sf_clm.fppized): module_sf_clm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_const_mod.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_configure.fppized module_date_time.fppized module_sf_urban.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_fogdes.fppized): module_sf_fogdes.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_mynn.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_gfdl.fppized): module_sf_gfdl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_sf_gfs.fppized): module_sf_gfs.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized module_progtm.fppized) $(addsuffix $(OBJ), module_sf_lake.fppized): module_sf_lake.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_myjsfc.fppized): module_sf_myjsfc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_mynn.fppized): module_sf_mynn.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_mynn.fppized module_model_constants.fppized module_sf_sfclay.fppized) $(addsuffix $(OBJ), module_sf_noah_seaice.fppized): module_sf_noah_seaice.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_noahlsm.fppized) $(addsuffix $(OBJ), module_sf_noah_seaice_drv.fppized): module_sf_noah_seaice_drv.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_noah_seaice.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_sf_noahdrv.fppized): module_sf_noahdrv.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_gocart_dust.fppized module_sf_bep.fppized module_sf_bep_bem.fppized module_sf_noahlsm.fppized module_sf_noahlsm_glacial_only.fppized module_sf_urban.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_noahlsm.fppized): module_sf_noahlsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_noahlsm_glacial_only.fppized): module_sf_noahlsm_glacial_only.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_noahlsm.fppized) $(addsuffix $(OBJ), module_sf_noahmp_groundwater.fppized): module_sf_noahmp_groundwater.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_noahlsm.fppized) $(addsuffix $(OBJ), module_sf_noahmpdrv.fppized): module_sf_noahmpdrv.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_gocart_dust.fppized module_ra_gfdleta.fppized module_sf_noah_seaice.fppized module_sf_noahdrv.fppized module_sf_noahmp_glacier.fppized module_sf_noahmp_groundwater.fppized module_sf_noahmplsm.fppized module_sf_urban.fppized) $(addsuffix $(OBJ), module_sf_noahmplsm.fppized): module_sf_noahmplsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_myjsfc.fppized module_sf_noahlsm.fppized module_sf_sfclay.fppized) $(addsuffix $(OBJ), module_sf_ocean_driver.fppized): module_sf_ocean_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_3dpwp.fppized module_sf_oml.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_sf_pxlsm.fppized): module_sf_pxlsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_pxlsm_data.fppized) $(addsuffix $(OBJ), module_sf_qnsesfc.fppized): module_sf_qnsesfc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_ruclsm.fppized): module_sf_ruclsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_gocart_dust.fppized module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_sstskin.fppized): module_sf_sstskin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_shallowcu_driver.fppized): module_shallowcu_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_model_constants.fppized module_shcu_camuwshcu_driver.fppized module_shcu_grims.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_shcu_camuwshcu.fppized): module_shcu_camuwshcu.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_error_function.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_shcu_camuwshcu_driver.fppized): module_shcu_camuwshcu_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_asect.fppized module_mp_cammgmp_driver.fppized module_shcu_camuwshcu.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_small_step_em.fppized): module_small_step_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_soil_pre.fppized): module_soil_pre.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_date_time.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_stoch.fppized): module_stoch.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), module_surface_driver.fppized): module_surface_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cpl.fppized module_model_constants.fppized module_sf_clm.fppized module_sf_fogdes.fppized module_sf_gfdl.fppized module_sf_gfs.fppized module_sf_idealscmsfclay.fppized module_sf_lake.fppized module_sf_myjsfc.fppized module_sf_mynn.fppized module_sf_noah_seaice_drv.fppized module_sf_noahdrv.fppized module_sf_noahmp_groundwater.fppized module_sf_noahmpdrv.fppized module_sf_ocean_driver.fppized module_sf_pxlsm.fppized module_sf_pxsfclay.fppized module_sf_qnsesfc.fppized module_sf_ruclsm.fppized module_sf_scmflux.fppized module_sf_scmskintemp.fppized module_sf_sfcdiags.fppized module_sf_sfcdiags_ruclsm.fppized module_sf_sfclay.fppized module_sf_sfclayrev.fppized module_sf_slab.fppized module_sf_ssib.fppized module_sf_sstskin.fppized module_sf_temfsfclay.fppized module_sf_tmnupdate.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_symbols_util.fppized): module_symbols_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized) $(addsuffix $(OBJ), module_tiles.fppized): module_tiles.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_timing.fppized): module_timing.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_utility.fppized): module_utility.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_symbols_util.fppized) $(addsuffix $(OBJ), module_wind_fitch.fppized): module_wind_fitch.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_driver_constants.fppized module_llxy.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_wps_io_arw.fppized): module_wps_io_arw.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_internal_header_util.fppized module_optional_input.fppized module_soil_pre.fppized) $(addsuffix $(OBJ), module_wrf_top.fppized): module_wrf_top.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_check_a_mundo.fppized module_configure.fppized module_cpl.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_integrate.fppized module_machine.fppized module_nesting.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), ndown_em.fppized): ndown_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_big_step_utilities_em.fppized module_check_a_mundo.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_driver_constants.fppized module_get_file_names.fppized module_initialize_real.fppized module_integrate.fppized module_io_domain.fppized module_machine.fppized module_optional_input.fppized module_soil_pre.fppized module_timing.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), nest_init_utils.fppized): nest_init_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_model_constants.fppized module_state_description.fppized module_tiles.fppized) $(addsuffix $(OBJ), nl_get_0_routines.fppized): nl_get_0_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_1_routines.fppized): nl_get_1_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_2_routines.fppized): nl_get_2_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_3_routines.fppized): nl_get_3_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_4_routines.fppized): nl_get_4_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_5_routines.fppized): nl_get_5_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_6_routines.fppized): nl_get_6_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_7_routines.fppized): nl_get_7_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_0_routines.fppized): nl_set_0_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_1_routines.fppized): nl_set_1_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_2_routines.fppized): nl_set_2_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_3_routines.fppized): nl_set_3_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_4_routines.fppized): nl_set_4_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_5_routines.fppized): nl_set_5_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_6_routines.fppized): nl_set_6_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_7_routines.fppized): nl_set_7_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nup_em.fppized): nup_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_big_step_utilities_em.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_driver_constants.fppized module_get_file_names.fppized module_initialize_real.fppized module_integrate.fppized module_io_domain.fppized module_machine.fppized module_optional_input.fppized module_soil_pre.fppized module_streams.fppized module_timing.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), output_wrf.fppized): output_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_domain_type.fppized module_io.fppized module_io_wrf.fppized module_model_constants.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), real_em.fppized): real_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_bc_time_utilities.fppized module_big_step_utilities_em.fppized module_check_a_mundo.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_initialize_real.fppized module_io_domain.fppized module_machine.fppized module_optional_input.fppized module_state_description.fppized module_symbols_util.fppized module_timing.fppized module_utility.fppized module_wps_io_arw.fppized) $(addsuffix $(OBJ), set_timekeeping.fppized): set_timekeeping.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_utility.fppized) $(addsuffix $(OBJ), shift_domain_em.fppized): shift_domain_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), solve_em.fppized): solve_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_after_all_rk_steps.fppized module_avgflx_em.fppized module_bc.fppized module_bc_em.fppized module_big_step_utilities_em.fppized module_comm_dm.fppized module_configure.fppized module_cpl.fppized module_diffusion_em.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_driver_constants.fppized module_em.fppized module_fddaobs_driver.fppized module_first_rk_step_part1.fppized module_first_rk_step_part2.fppized module_llxy.fppized module_machine.fppized module_microphysics_driver.fppized module_microphysics_zero_out.fppized module_model_constants.fppized module_physics_addtendc.fppized module_polarfft.fppized module_small_step_em.fppized module_solvedebug_em.fppized module_state_description.fppized module_tiles.fppized module_utility.fppized) $(addsuffix $(OBJ), solve_interface.fppized): solve_interface.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), start_domain.fppized): start_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized) $(addsuffix $(OBJ), start_em.fppized): start_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_avgflx_em.fppized module_bc.fppized module_bc_em.fppized module_comm_dm.fppized module_configure.fppized module_diag_pld.fppized module_dm.fppized module_domain.fppized module_fr_fire_driver_wrf.fppized module_lightning_driver.fppized module_model_constants.fppized module_physics_init.fppized module_state_description.fppized module_stoch.fppized module_tiles.fppized) $(addsuffix $(OBJ), tc_em.fppized): tc_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_bc_time_utilities.fppized module_big_step_utilities_em.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_initialize_real.fppized module_io_domain.fppized module_llxy.fppized module_machine.fppized module_optional_input.fppized module_state_description.fppized module_symbols_util.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), track_driver.fppized): track_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), track_input.fppized): track_input.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_utility.fppized) $(addsuffix $(OBJ), wrf.fppized): wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_top.fppized) $(addsuffix $(OBJ), wrf_bdyin.fppized): wrf_bdyin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_date_time.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), wrf_bdyout.fppized): wrf_bdyout.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_debug.fppized): wrf_debug.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_ext_read_field.fppized): wrf_ext_read_field.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_io.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_ext_write_field.fppized): wrf_ext_write_field.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_io.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_fddaobs_in.fppized): wrf_fddaobs_in.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_date_time.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized) $(addsuffix $(OBJ), wrf_timeseries.fppized): wrf_timeseries.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), wrf_tsin.fppized): wrf_tsin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_utility.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/build/build_base_x86_64-m64.0000/Makefile.wrf_s.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename netcdf/netcdf.f90)): netcdf/netcdf.f90 $(addsuffix $(OBJ),$(basename netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), $(basename netcdf/netcdf_test.f90)): netcdf/netcdf_test.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90 netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), ESMF_Alarm.fppized): ESMF_Alarm.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_AlarmClock.fppized): ESMF_AlarmClock.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_Clock.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_BaseTime.fppized): ESMF_BaseTime.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized) $(addsuffix $(OBJ), ESMF_Calendar.fppized): ESMF_Calendar.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_BaseTime.fppized) $(addsuffix $(OBJ), ESMF_Clock.fppized): ESMF_Clock.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_Base.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_Mod.fppized): ESMF_Mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_AlarmClock.fppized ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Clock.fppized ESMF_Fraction.fppized ESMF_Stubs.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_Stubs.fppized): ESMF_Stubs.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_Calendar.fppized) $(addsuffix $(OBJ), ESMF_Time.fppized): ESMF_Time.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Stubs.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_TimeInterval.fppized): ESMF_TimeInterval.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Fraction.fppized) $(addsuffix $(OBJ), Meat.fppized): Meat.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Clock.fppized ESMF_Fraction.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), adapt_timestep_em.fppized): adapt_timestep_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_em.fppized module_configure.fppized module_dm.fppized module_domain.fppized) $(addsuffix $(OBJ), couple_or_uncouple_em.fppized): couple_or_uncouple_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_state_description.fppized module_tiles.fppized) $(addsuffix $(OBJ), dfi.fppized): dfi.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_io_domain.fppized module_machine.fppized module_model_constants.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), diffwrf.fppized): diffwrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) wrf_io.fppized) $(addsuffix $(OBJ), f_xpose.fppized): f_xpose.fppized.f90 $(addsuffix $(OBJ),$(basename ) f_pack.fppized) $(addsuffix $(OBJ), field_routines.fppized): field_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) wrf_io.fppized) $(addsuffix $(OBJ), init_modules.fppized): init_modules.fppized.f90 $(addsuffix $(OBJ),$(basename ) io_int.fppized module_bc.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_io.fppized module_io_quilt.fppized module_io_wrf.fppized module_machine.fppized module_model_constants.fppized module_nesting.fppized module_tiles.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), input_wrf.fppized): input_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_date_time.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), interp_domain_em.fppized): interp_domain_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_state_description.fppized) $(addsuffix $(OBJ), interp_fcn.fppized): interp_fcn.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_interp_store.fppized module_model_constants.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), io_int.fppized): io_int.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_internal_header_util.fppized) $(addsuffix $(OBJ), mediation_feedback_domain.fppized): mediation_feedback_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_domain.fppized module_intermediate_nmm.fppized module_timing.fppized) $(addsuffix $(OBJ), mediation_force_domain.fppized): mediation_force_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_intermediate_nmm.fppized module_timing.fppized) $(addsuffix $(OBJ), mediation_integrate.fppized): mediation_integrate.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_io_domain.fppized module_model_constants.fppized module_state_description.fppized module_streams.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), mediation_interp_domain.fppized): mediation_interp_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_timing.fppized) $(addsuffix $(OBJ), mediation_nest_move.fppized): mediation_nest_move.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_compute_geop.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_model_constants.fppized module_state_description.fppized module_streams.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), mediation_wrfmain.fppized): mediation_wrfmain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_domain.fppized module_io.fppized module_io_domain.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), module_advect_em.fppized): module_advect_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_after_all_rk_steps.fppized): module_after_all_rk_steps.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_diagnostics_driver.fppized module_dm.fppized module_domain.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_alloc_space_0.fppized): module_alloc_space_0.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_1.fppized): module_alloc_space_1.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_2.fppized): module_alloc_space_2.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_3.fppized): module_alloc_space_3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_4.fppized): module_alloc_space_4.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_5.fppized): module_alloc_space_5.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_6.fppized): module_alloc_space_6.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_7.fppized): module_alloc_space_7.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_8.fppized): module_alloc_space_8.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_9.fppized): module_alloc_space_9.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_avgflx_em.fppized): module_avgflx_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bc.fppized): module_bc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bc_em.fppized): module_bc_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_configure.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bc_time_utilities.fppized): module_bc_time_utilities.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_utility.fppized) $(addsuffix $(OBJ), module_big_step_utilities_em.fppized): module_big_step_utilities_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bl_camuwpbl_driver.fppized): module_bl_camuwpbl_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_bl_diffusion_solver.fppized module_cam_bl_eddy_diff.fppized module_cam_constituents.fppized module_cam_molec_diff.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_trb_mtn_stress.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_fogdes.fppized): module_bl_fogdes.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_mynn.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_gbmpbl.fppized): module_bl_gbmpbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_gfs.fppized): module_bl_gfs.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_bl_gfs2011.fppized): module_bl_gfs2011.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_bl_mfshconvpbl.fppized): module_bl_mfshconvpbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_myjpbl.fppized): module_bl_myjpbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_myjurb.fppized): module_bl_myjurb.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_mynn.fppized): module_bl_mynn.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_bl_qnsepbl.fppized): module_bl_qnsepbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_qnsepbl09.fppized): module_bl_qnsepbl09.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_cam_bl_diffusion_solver.fppized): module_cam_bl_diffusion_solver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_bl_eddy_diff.fppized): module_cam_bl_eddy_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_bl_diffusion_solver.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_cldwat.fppized): module_cam_cldwat.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cam_constituents.fppized): module_cam_constituents.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_esinti.fppized): module_cam_esinti.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cam_gffgch.fppized): module_cam_gffgch.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_infnan.fppized): module_cam_infnan.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized) $(addsuffix $(OBJ), module_cam_molec_diff.fppized): module_cam_molec_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_support.fppized module_cam_upper_bc.fppized) $(addsuffix $(OBJ), module_cam_mp_cldwat2m_micro.fppized): module_cam_mp_cldwat2m_micro.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cam_mp_conv_water.fppized): module_cam_mp_conv_water.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_mp_microp_aero.fppized): module_cam_mp_microp_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_error_function.fppized module_cam_mp_ndrop.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_cam_mp_modal_aero_initialize_data_phys.fppized): module_cam_mp_modal_aero_initialize_data_phys.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_cam_mp_ndrop.fppized): module_cam_mp_ndrop.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_error_function.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_cam_mp_qneg3.fppized): module_cam_mp_qneg3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_mp_radconstants.fppized): module_cam_mp_radconstants.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_physconst.fppized): module_cam_physconst.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_const_mod.fppized module_cam_shr_kind_mod.fppized) $(addsuffix $(OBJ), module_cam_shr_const_mod.fppized): module_cam_shr_const_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized) $(addsuffix $(OBJ), module_cam_support.fppized): module_cam_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_cam_trb_mtn_stress.fppized): module_cam_trb_mtn_stress.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_upper_bc.fppized): module_cam_upper_bc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_wv_saturation.fppized): module_cam_wv_saturation.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_gffgch.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_check_a_mundo.fppized): module_check_a_mundo.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_comm_dm.fppized): module_comm_dm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm_0.fppized module_comm_dm_1.fppized module_comm_dm_2.fppized module_comm_dm_3.fppized module_comm_dm_4.fppized module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_0.fppized): module_comm_dm_0.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_1.fppized): module_comm_dm_1.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_2.fppized): module_comm_dm_2.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_3.fppized): module_comm_dm_3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_4.fppized): module_comm_dm_4.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_nesting_dm.fppized): module_comm_nesting_dm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_configure.fppized): module_configure.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain_type.fppized module_driver_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_cpl.fppized): module_cpl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cpl_oasis3.fppized module_domain.fppized module_driver_constants.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_cpl_oasis3.fppized): module_cpl_oasis3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_driver_constants.fppized) $(addsuffix $(OBJ), module_cu_bmj.fppized): module_cu_bmj.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_cu_camzm.fppized): module_cu_camzm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_cldwat.fppized module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cu_camzm_driver.fppized): module_cu_camzm_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_cu_camzm.fppized module_data_cam_mam_asect.fppized module_model_constants.fppized module_mp_cammgmp_driver.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_cu_kf.fppized): module_cu_kf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_cu_kfeta.fppized): module_cu_kfeta.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_cu_mesosas.fppized): module_cu_mesosas.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_cu_osas.fppized): module_cu_osas.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_cu_sas.fppized): module_cu_sas.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_cumulus_driver.fppized): module_cumulus_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_cu_bmj.fppized module_cu_camzm_driver.fppized module_cu_g3.fppized module_cu_gd.fppized module_cu_gf.fppized module_cu_kf.fppized module_cu_kfeta.fppized module_cu_mesosas.fppized module_cu_nsas.fppized module_cu_osas.fppized module_cu_sas.fppized module_cu_tiedtke.fppized module_dm.fppized module_domain.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_damping_em.fppized): module_damping_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_data_cam_mam_aero.fppized): module_data_cam_mam_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_mp_radconstants.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_data_cam_mam_asect.fppized): module_data_cam_mam_asect.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_date_time.fppized): module_date_time.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_diag_afwa.fppized): module_diag_afwa.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_diag_afwa_hail.fppized module_dm.fppized module_domain.fppized module_model_constants.fppized module_state_description.fppized module_streams.fppized module_utility.fppized) $(addsuffix $(OBJ), module_diag_cl.fppized): module_diag_cl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized) $(addsuffix $(OBJ), module_diag_misc.fppized): module_diag_misc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized) $(addsuffix $(OBJ), module_diag_pld.fppized): module_diag_pld.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_diagnostics_driver.fppized): module_diagnostics_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_diag_afwa.fppized module_diag_cl.fppized module_diag_misc.fppized module_diag_pld.fppized module_domain.fppized module_driver_constants.fppized module_lightning_driver.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_diffusion_em.fppized): module_diffusion_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_big_step_utilities_em.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_dm.fppized): module_dm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_comm_dm_3.fppized module_comm_nesting_dm.fppized module_configure.fppized module_cpl.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_state_description.fppized module_timing.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_domain.fppized): module_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_alloc_space_0.fppized module_alloc_space_1.fppized module_alloc_space_2.fppized module_alloc_space_3.fppized module_alloc_space_4.fppized module_alloc_space_5.fppized module_alloc_space_6.fppized module_alloc_space_7.fppized module_alloc_space_8.fppized module_alloc_space_9.fppized module_configure.fppized module_domain_type.fppized module_driver_constants.fppized module_machine.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_domain_type.fppized): module_domain_type.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_driver_constants.fppized module_streams.fppized module_utility.fppized) $(addsuffix $(OBJ), module_em.fppized): module_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_advect_em.fppized module_big_step_utilities_em.fppized module_configure.fppized module_damping_em.fppized module_dm.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_fdda_psufddagd.fppized): module_fdda_psufddagd.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_fdda_spnudging.fppized): module_fdda_spnudging.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_fddagd_driver.fppized): module_fddagd_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_fdda_psufddagd.fppized module_fdda_spnudging.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_fddaobs_driver.fppized): module_fddaobs_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_fddaobs_rtfdda.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fddaobs_rtfdda.fppized): module_fddaobs_rtfdda.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_first_rk_step_part1.fppized): module_first_rk_step_part1.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_big_step_utilities_em.fppized module_comm_dm.fppized module_configure.fppized module_convtrans_prep.fppized module_cumulus_driver.fppized module_dm.fppized module_domain.fppized module_em.fppized module_fddagd_driver.fppized module_force_scm.fppized module_fr_fire_driver_wrf.fppized module_model_constants.fppized module_pbl_driver.fppized module_radiation_driver.fppized module_shallowcu_driver.fppized module_state_description.fppized module_surface_driver.fppized module_utility.fppized) $(addsuffix $(OBJ), module_first_rk_step_part2.fppized): module_first_rk_step_part2.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_diffusion_em.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_em.fppized module_fddaobs_driver.fppized module_model_constants.fppized module_physics_addtendc.fppized module_state_description.fppized module_stoch.fppized) $(addsuffix $(OBJ), module_force_scm.fppized): module_force_scm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_init_utilities.fppized) $(addsuffix $(OBJ), module_fr_fire_atm.fppized): module_fr_fire_atm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_util.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fr_fire_core.fppized): module_fr_fire_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_phys.fppized module_fr_fire_util.fppized) $(addsuffix $(OBJ), module_fr_fire_driver.fppized): module_fr_fire_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_fr_fire_core.fppized module_fr_fire_model.fppized module_fr_fire_phys.fppized module_fr_fire_util.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fr_fire_driver_wrf.fppized): module_fr_fire_driver_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_fr_fire_atm.fppized module_fr_fire_driver.fppized module_fr_fire_util.fppized) $(addsuffix $(OBJ), module_fr_fire_model.fppized): module_fr_fire_model.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_core.fppized module_fr_fire_phys.fppized module_fr_fire_util.fppized) $(addsuffix $(OBJ), module_fr_fire_phys.fppized): module_fr_fire_phys.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_util.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fr_fire_util.fppized): module_fr_fire_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_gfs_funcphys.fppized): module_gfs_funcphys.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_gfs_physcons.fppized): module_gfs_physcons.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized) $(addsuffix $(OBJ), module_initialize_real.fppized): module_initialize_real.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_io_domain.fppized module_llxy.fppized module_model_constants.fppized module_optional_input.fppized module_polarfft.fppized module_soil_pre.fppized module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), module_integrate.fppized): module_integrate.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cpl.fppized module_domain.fppized module_driver_constants.fppized module_nesting.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), module_intermediate_nmm.fppized): module_intermediate_nmm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), module_interp_store.fppized): module_interp_store.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain_type.fppized) $(addsuffix $(OBJ), module_io.fppized): module_io.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_driver_constants.fppized module_state_description.fppized module_streams.fppized) $(addsuffix $(OBJ), module_io_domain.fppized): module_io_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), module_io_quilt.fppized): module_io_quilt.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cpl.fppized module_dm.fppized module_internal_header_util.fppized module_quilt_outbuf_ops.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_io_wrf.fppized): module_io_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_date_time.fppized module_streams.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_lightning_driver.fppized): module_lightning_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_ltng_cpmpr92z.fppized module_ltng_crmpr92.fppized module_ltng_iccg.fppized module_ltng_lpi.fppized module_model_constants.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_llxy.fppized): module_llxy.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_ltng_cpmpr92z.fppized): module_ltng_cpmpr92z.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ltng_crmpr92.fppized): module_ltng_crmpr92.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ltng_iccg.fppized): module_ltng_iccg.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_utility.fppized) $(addsuffix $(OBJ), module_machine.fppized): module_machine.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_driver_constants.fppized) $(addsuffix $(OBJ), module_microphysics_driver.fppized): module_microphysics_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_data_cam_mam_aero.fppized module_domain.fppized module_mixactivate.fppized module_model_constants.fppized module_mp_HWRF.fppized module_mp_cammgmp_driver.fppized module_mp_etanew.fppized module_mp_etaold.fppized module_mp_fast_sbm.fppized module_mp_full_sbm.fppized module_mp_gsfcgce.fppized module_mp_kessler.fppized module_mp_lin.fppized module_mp_milbrandt2mom.fppized module_mp_morr_two_moment.fppized module_mp_nssl_2mom.fppized module_mp_sbu_ylin.fppized module_mp_thompson.fppized module_mp_wdm5.fppized module_mp_wdm6.fppized module_mp_wsm3.fppized module_mp_wsm5.fppized module_mp_wsm6.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_microphysics_zero_out.fppized): module_microphysics_zero_out.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_mixactivate.fppized): module_mixactivate.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_radiation_driver.fppized) $(addsuffix $(OBJ), module_mp_cammgmp_driver.fppized): module_mp_cammgmp_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_cldwat.fppized module_cam_constituents.fppized module_cam_mp_cldwat2m_micro.fppized module_cam_mp_conv_water.fppized module_cam_mp_microp_aero.fppized module_cam_mp_ndrop.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_configure.fppized module_data_cam_mam_aero.fppized module_data_cam_mam_asect.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_mp_fast_sbm.fppized): module_mp_fast_sbm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_mp_radar.fppized) $(addsuffix $(OBJ), module_mp_full_sbm.fppized): module_mp_full_sbm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_mp_radar.fppized) $(addsuffix $(OBJ), module_mp_gsfcgce.fppized): module_mp_gsfcgce.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_lin.fppized): module_mp_lin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_milbrandt2mom.fppized): module_mp_milbrandt2mom.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_mp_morr_two_moment.fppized): module_mp_morr_two_moment.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_model_constants.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_radar.fppized): module_mp_radar.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_mp_thompson.fppized): module_mp_thompson.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_mp_radar.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_mp_wdm5.fppized): module_mp_wdm5.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_wdm6.fppized): module_mp_wdm6.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_wsm5.fppized): module_mp_wsm5.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_wsm6.fppized): module_mp_wsm6.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_nesting.fppized): module_nesting.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_utility.fppized) $(addsuffix $(OBJ), module_optional_input.fppized): module_optional_input.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_io_domain.fppized module_io_wrf.fppized) $(addsuffix $(OBJ), module_pbl_driver.fppized): module_pbl_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_acm.fppized module_bl_boulac.fppized module_bl_camuwpbl_driver.fppized module_bl_fogdes.fppized module_bl_gbmpbl.fppized module_bl_gfs.fppized module_bl_gfs2011.fppized module_bl_gwdo.fppized module_bl_mfshconvpbl.fppized module_bl_mrf.fppized module_bl_myjpbl.fppized module_bl_myjurb.fppized module_bl_mynn.fppized module_bl_qnsepbl.fppized module_bl_qnsepbl09.fppized module_bl_temf.fppized module_bl_ysu.fppized module_model_constants.fppized module_state_description.fppized module_wind_fitch.fppized) $(addsuffix $(OBJ), module_physics_addtendc.fppized): module_physics_addtendc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cu_kf.fppized module_cu_kfeta.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_physics_init.fppized): module_physics_init.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_acm.fppized module_bl_boulac.fppized module_bl_camuwpbl_driver.fppized module_bl_gbmpbl.fppized module_bl_gfs.fppized module_bl_gfs2011.fppized module_bl_mfshconvpbl.fppized module_bl_mrf.fppized module_bl_myjpbl.fppized module_bl_myjurb.fppized module_bl_mynn.fppized module_bl_qnsepbl.fppized module_bl_qnsepbl09.fppized module_bl_temf.fppized module_bl_ysu.fppized module_cam_constituents.fppized module_cam_esinti.fppized module_cam_mp_modal_aero_initialize_data_phys.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_configure.fppized module_cu_bmj.fppized module_cu_camzm_driver.fppized module_cu_g3.fppized module_cu_gd.fppized module_cu_kf.fppized module_cu_kfeta.fppized module_cu_mesosas.fppized module_cu_nsas.fppized module_cu_osas.fppized module_cu_sas.fppized module_cu_tiedtke.fppized module_data_cam_mam_aero.fppized module_dom ain.fppized module_fdda_psufddagd.fppized module_fdda_spnudging.fppized module_fddaobs_rtfdda.fppized module_llxy.fppized module_model_constants.fppized module_mp_HWRF.fppized module_mp_cammgmp_driver.fppized module_mp_etanew.fppized module_mp_etaold.fppized module_mp_fast_sbm.fppized module_mp_full_sbm.fppized module_mp_milbrandt2mom.fppized module_mp_morr_two_moment.fppized module_mp_nssl_2mom.fppized module_mp_thompson.fppized module_mp_wdm5.fppized module_mp_wdm6.fppized module_mp_wsm3.fppized module_mp_wsm5.fppized module_mp_wsm6.fppized module_ra_HWRF.fppized module_ra_cam.fppized module_ra_cam_support.fppized module_ra_gfdleta.fppized module_ra_gsfcsw.fppized module_ra_hs.fppized module_ra_rrtm.fppized module_ra_sw.fppized module_sf_bep.fppized module_sf_bep_bem.fppized module_sf_clm.fppized module_sf_gfdl.fppized module_sf_lake.fppized module_sf_myjsfc.fppized module_sf_mynn.fppized module_sf_noahdrv.fppized module_sf_noahmpdrv.fppized module_sf_oml.fppized module_sf_pxlsm.fppized module_sf_pxsfcl ay.fppized module_sf_qnsesfc.fppized module_sf_ruclsm.fppized module_sf_sfclay.fppized module_sf_sfclayrev.fppized module_sf_slab.fppized module_sf_temfsfclay.fppized module_sf_urban.fppized module_shcu_camuwshcu.fppized module_shcu_grims.fppized module_state_description.fppized module_wind_fitch.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_polarfft.fppized): module_polarfft.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_progtm.fppized): module_progtm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized) $(addsuffix $(OBJ), module_quilt_outbuf_ops.fppized): module_quilt_outbuf_ops.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), module_ra_HWRF.fppized): module_ra_HWRF.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_mp_HWRF.fppized) $(addsuffix $(OBJ), module_ra_aerosol.fppized): module_ra_aerosol.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_cam.fppized): module_ra_cam.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_support.fppized module_ra_cam_support.fppized module_ra_clWRF_support.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_cam_support.fppized): module_ra_cam_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_support.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_clWRF_support.fppized): module_ra_clWRF_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_gfdleta.fppized): module_ra_gfdleta.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_mp_etanew.fppized) $(addsuffix $(OBJ), module_ra_rrtm.fppized): module_ra_rrtm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_ra_clWRF_support.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_radiation_driver.fppized): module_radiation_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_dm.fppized module_domain.fppized module_model_constants.fppized module_ra_HWRF.fppized module_ra_aerosol.fppized module_ra_cam.fppized module_ra_flg.fppized module_ra_gfdleta.fppized module_ra_goddard.fppized module_ra_gsfcsw.fppized module_ra_hs.fppized module_ra_rrtm.fppized module_ra_sw.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_bep.fppized): module_sf_bep.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_urban.fppized) $(addsuffix $(OBJ), module_sf_bep_bem.fppized): module_sf_bep_bem.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_bem.fppized module_sf_urban.fppized) $(addsuffix $(OBJ), module_sf_clm.fppized): module_sf_clm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_const_mod.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_configure.fppized module_date_time.fppized module_sf_urban.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_fogdes.fppized): module_sf_fogdes.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_mynn.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_gfdl.fppized): module_sf_gfdl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_sf_gfs.fppized): module_sf_gfs.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized module_progtm.fppized) $(addsuffix $(OBJ), module_sf_lake.fppized): module_sf_lake.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_myjsfc.fppized): module_sf_myjsfc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_mynn.fppized): module_sf_mynn.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_mynn.fppized module_model_constants.fppized module_sf_sfclay.fppized) $(addsuffix $(OBJ), module_sf_noah_seaice.fppized): module_sf_noah_seaice.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_noahlsm.fppized) $(addsuffix $(OBJ), module_sf_noah_seaice_drv.fppized): module_sf_noah_seaice_drv.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_noah_seaice.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_sf_noahdrv.fppized): module_sf_noahdrv.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_gocart_dust.fppized module_sf_bep.fppized module_sf_bep_bem.fppized module_sf_noahlsm.fppized module_sf_noahlsm_glacial_only.fppized module_sf_urban.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_noahlsm.fppized): module_sf_noahlsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_noahlsm_glacial_only.fppized): module_sf_noahlsm_glacial_only.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_noahlsm.fppized) $(addsuffix $(OBJ), module_sf_noahmp_groundwater.fppized): module_sf_noahmp_groundwater.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_noahlsm.fppized) $(addsuffix $(OBJ), module_sf_noahmpdrv.fppized): module_sf_noahmpdrv.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_gocart_dust.fppized module_ra_gfdleta.fppized module_sf_noah_seaice.fppized module_sf_noahdrv.fppized module_sf_noahmp_glacier.fppized module_sf_noahmp_groundwater.fppized module_sf_noahmplsm.fppized module_sf_urban.fppized) $(addsuffix $(OBJ), module_sf_noahmplsm.fppized): module_sf_noahmplsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_myjsfc.fppized module_sf_noahlsm.fppized module_sf_sfclay.fppized) $(addsuffix $(OBJ), module_sf_ocean_driver.fppized): module_sf_ocean_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_3dpwp.fppized module_sf_oml.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_sf_pxlsm.fppized): module_sf_pxlsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_pxlsm_data.fppized) $(addsuffix $(OBJ), module_sf_qnsesfc.fppized): module_sf_qnsesfc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_ruclsm.fppized): module_sf_ruclsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_gocart_dust.fppized module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_sstskin.fppized): module_sf_sstskin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_shallowcu_driver.fppized): module_shallowcu_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_model_constants.fppized module_shcu_camuwshcu_driver.fppized module_shcu_grims.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_shcu_camuwshcu.fppized): module_shcu_camuwshcu.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_error_function.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_shcu_camuwshcu_driver.fppized): module_shcu_camuwshcu_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_asect.fppized module_mp_cammgmp_driver.fppized module_shcu_camuwshcu.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_small_step_em.fppized): module_small_step_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_soil_pre.fppized): module_soil_pre.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_date_time.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_stoch.fppized): module_stoch.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), module_surface_driver.fppized): module_surface_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cpl.fppized module_model_constants.fppized module_sf_clm.fppized module_sf_fogdes.fppized module_sf_gfdl.fppized module_sf_gfs.fppized module_sf_idealscmsfclay.fppized module_sf_lake.fppized module_sf_myjsfc.fppized module_sf_mynn.fppized module_sf_noah_seaice_drv.fppized module_sf_noahdrv.fppized module_sf_noahmp_groundwater.fppized module_sf_noahmpdrv.fppized module_sf_ocean_driver.fppized module_sf_pxlsm.fppized module_sf_pxsfclay.fppized module_sf_qnsesfc.fppized module_sf_ruclsm.fppized module_sf_scmflux.fppized module_sf_scmskintemp.fppized module_sf_sfcdiags.fppized module_sf_sfcdiags_ruclsm.fppized module_sf_sfclay.fppized module_sf_sfclayrev.fppized module_sf_slab.fppized module_sf_ssib.fppized module_sf_sstskin.fppized module_sf_temfsfclay.fppized module_sf_tmnupdate.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_symbols_util.fppized): module_symbols_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized) $(addsuffix $(OBJ), module_tiles.fppized): module_tiles.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_timing.fppized): module_timing.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_utility.fppized): module_utility.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_symbols_util.fppized) $(addsuffix $(OBJ), module_wind_fitch.fppized): module_wind_fitch.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_driver_constants.fppized module_llxy.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_wps_io_arw.fppized): module_wps_io_arw.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_internal_header_util.fppized module_optional_input.fppized module_soil_pre.fppized) $(addsuffix $(OBJ), module_wrf_top.fppized): module_wrf_top.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_check_a_mundo.fppized module_configure.fppized module_cpl.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_integrate.fppized module_machine.fppized module_nesting.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), ndown_em.fppized): ndown_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_big_step_utilities_em.fppized module_check_a_mundo.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_driver_constants.fppized module_get_file_names.fppized module_initialize_real.fppized module_integrate.fppized module_io_domain.fppized module_machine.fppized module_optional_input.fppized module_soil_pre.fppized module_timing.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), nest_init_utils.fppized): nest_init_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_model_constants.fppized module_state_description.fppized module_tiles.fppized) $(addsuffix $(OBJ), nl_get_0_routines.fppized): nl_get_0_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_1_routines.fppized): nl_get_1_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_2_routines.fppized): nl_get_2_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_3_routines.fppized): nl_get_3_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_4_routines.fppized): nl_get_4_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_5_routines.fppized): nl_get_5_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_6_routines.fppized): nl_get_6_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_7_routines.fppized): nl_get_7_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_0_routines.fppized): nl_set_0_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_1_routines.fppized): nl_set_1_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_2_routines.fppized): nl_set_2_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_3_routines.fppized): nl_set_3_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_4_routines.fppized): nl_set_4_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_5_routines.fppized): nl_set_5_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_6_routines.fppized): nl_set_6_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_7_routines.fppized): nl_set_7_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nup_em.fppized): nup_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_big_step_utilities_em.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_driver_constants.fppized module_get_file_names.fppized module_initialize_real.fppized module_integrate.fppized module_io_domain.fppized module_machine.fppized module_optional_input.fppized module_soil_pre.fppized module_streams.fppized module_timing.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), output_wrf.fppized): output_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_domain_type.fppized module_io.fppized module_io_wrf.fppized module_model_constants.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), real_em.fppized): real_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_bc_time_utilities.fppized module_big_step_utilities_em.fppized module_check_a_mundo.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_initialize_real.fppized module_io_domain.fppized module_machine.fppized module_optional_input.fppized module_state_description.fppized module_symbols_util.fppized module_timing.fppized module_utility.fppized module_wps_io_arw.fppized) $(addsuffix $(OBJ), set_timekeeping.fppized): set_timekeeping.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_utility.fppized) $(addsuffix $(OBJ), shift_domain_em.fppized): shift_domain_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), solve_em.fppized): solve_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_after_all_rk_steps.fppized module_avgflx_em.fppized module_bc.fppized module_bc_em.fppized module_big_step_utilities_em.fppized module_comm_dm.fppized module_configure.fppized module_cpl.fppized module_diffusion_em.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_driver_constants.fppized module_em.fppized module_fddaobs_driver.fppized module_first_rk_step_part1.fppized module_first_rk_step_part2.fppized module_llxy.fppized module_machine.fppized module_microphysics_driver.fppized module_microphysics_zero_out.fppized module_model_constants.fppized module_physics_addtendc.fppized module_polarfft.fppized module_small_step_em.fppized module_solvedebug_em.fppized module_state_description.fppized module_tiles.fppized module_utility.fppized) $(addsuffix $(OBJ), solve_interface.fppized): solve_interface.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), start_domain.fppized): start_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized) $(addsuffix $(OBJ), start_em.fppized): start_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_avgflx_em.fppized module_bc.fppized module_bc_em.fppized module_comm_dm.fppized module_configure.fppized module_diag_pld.fppized module_dm.fppized module_domain.fppized module_fr_fire_driver_wrf.fppized module_lightning_driver.fppized module_model_constants.fppized module_physics_init.fppized module_state_description.fppized module_stoch.fppized module_tiles.fppized) $(addsuffix $(OBJ), tc_em.fppized): tc_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_bc_time_utilities.fppized module_big_step_utilities_em.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_initialize_real.fppized module_io_domain.fppized module_llxy.fppized module_machine.fppized module_optional_input.fppized module_state_description.fppized module_symbols_util.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), track_driver.fppized): track_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), track_input.fppized): track_input.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_utility.fppized) $(addsuffix $(OBJ), wrf.fppized): wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_top.fppized) $(addsuffix $(OBJ), wrf_bdyin.fppized): wrf_bdyin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_date_time.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), wrf_bdyout.fppized): wrf_bdyout.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_debug.fppized): wrf_debug.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_ext_read_field.fppized): wrf_ext_read_field.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_io.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_ext_write_field.fppized): wrf_ext_write_field.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_io.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_fddaobs_in.fppized): wrf_fddaobs_in.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_date_time.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized) $(addsuffix $(OBJ), wrf_timeseries.fppized): wrf_timeseries.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), wrf_tsin.fppized): wrf_tsin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_utility.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/build/build_base_x86_64-m64.0000/Makefile.diffwrf_621.spec': TUNE=base LABEL=x86_64-m64 NUMBER=621 NAME=wrf_s SOURCES= ESMF_Alarm.F90 ESMF_AlarmClock.F90 ESMF_Base.F90 \ ESMF_BaseTime.F90 ESMF_Calendar.F90 ESMF_Clock.F90 ESMF_Mod.F90 \ ESMF_Stubs.F90 ESMF_Time.F90 ESMF_TimeInterval.F90 Meat.F90 \ adapt_timestep_em.F90 c1f2kb.F90 c1f3kb.F90 c1f4kb.F90 c1f5kb.F90 \ c1fgkb.F90 c1fm1b.F90 c_code.c cfft1b.F90 cfft1i.F90 collect_on_comm.c \ couple_or_uncouple_em.F90 data.c diffwrf.F90 field_routines.F90 \ hires_timer.c input_wrf.F90 interp_fcn.F90 io_int.F90 libmassv.F90 \ mediation_wrfmain.F90 misc.c module_alloc_space_0.F90 \ module_alloc_space_1.F90 module_alloc_space_2.F90 \ module_alloc_space_3.F90 module_alloc_space_4.F90 \ module_alloc_space_5.F90 module_alloc_space_6.F90 \ module_alloc_space_7.F90 module_alloc_space_8.F90 \ module_alloc_space_9.F90 module_avgflx_em.F90 module_bc.F90 \ module_bc_em.F90 module_bc_time_utilities.F90 module_bl_acm.F90 \ module_bl_boulac.F90 module_bl_camuwpbl_driver.F90 module_bl_gbmpbl.F90 \ module_bl_gfs.F90 module_bl_gfs2011.F90 module_bl_mfshconvpbl.F90 \ module_bl_mrf.F90 module_bl_myjpbl.F90 module_bl_myjurb.F90 \ module_bl_mynn.F90 module_bl_qnsepbl.F90 module_bl_qnsepbl09.F90 \ module_bl_temf.F90 module_bl_ysu.F90 module_cam_bl_diffusion_solver.F90 \ module_cam_bl_eddy_diff.F90 module_cam_cldwat.F90 \ module_cam_constituents.F90 module_cam_error_function.F90 \ module_cam_esinti.F90 module_cam_gffgch.F90 module_cam_molec_diff.F90 \ module_cam_mp_cldwat2m_micro.F90 module_cam_mp_conv_water.F90 \ module_cam_mp_microp_aero.F90 \ module_cam_mp_modal_aero_initialize_data_phys.F90 \ module_cam_mp_ndrop.F90 module_cam_mp_qneg3.F90 \ module_cam_mp_radconstants.F90 module_cam_physconst.F90 \ module_cam_support.F90 module_cam_trb_mtn_stress.F90 \ module_cam_upper_bc.F90 module_cam_wv_saturation.F90 module_comm_dm.F90 \ module_comm_dm_0.F90 module_comm_dm_1.F90 module_comm_dm_2.F90 \ module_comm_dm_3.F90 module_comm_dm_4.F90 module_comm_nesting_dm.F90 \ module_configure.F90 module_cpl.F90 module_cpl_oasis3.F90 \ module_cu_bmj.F90 module_cu_camzm.F90 module_cu_camzm_driver.F90 \ module_cu_g3.F90 module_cu_gd.F90 module_cu_kf.F90 module_cu_kfeta.F90 \ module_cu_mesosas.F90 module_cu_nsas.F90 module_cu_osas.F90 \ module_cu_sas.F90 module_cu_tiedtke.F90 module_data_cam_mam_aero.F90 \ module_date_time.F90 module_diag_pld.F90 module_dm.F90 module_domain.F90 \ module_domain_type.F90 module_driver_constants.F90 \ module_fdda_psufddagd.F90 module_fdda_spnudging.F90 \ module_fddaobs_rtfdda.F90 module_fr_fire_atm.F90 module_fr_fire_core.F90 \ module_fr_fire_driver.F90 module_fr_fire_driver_wrf.F90 \ module_fr_fire_model.F90 module_fr_fire_phys.F90 module_fr_fire_util.F90 \ module_gfs_funcphys.F90 module_internal_header_util.F90 module_io.F90 \ module_io_domain.F90 module_io_quilt.F90 module_io_wrf.F90 \ module_lightning_driver.F90 module_llxy.F90 module_ltng_cpmpr92z.F90 \ module_ltng_crmpr92.F90 module_ltng_iccg.F90 module_ltng_lpi.F90 \ module_machine.F90 module_model_constants.F90 \ module_mp_cammgmp_driver.F90 module_mp_etanew.F90 module_mp_etaold.F90 \ module_mp_fast_sbm.F90 module_mp_full_sbm.F90 \ module_mp_milbrandt2mom.F90 module_mp_morr_two_moment.F90 \ module_mp_nssl_2mom.F90 module_mp_radar.F90 module_mp_thompson.F90 \ module_mp_wdm5.F90 module_mp_wdm6.F90 module_mp_wsm3.F90 \ module_mp_wsm5.F90 module_mp_wsm6.F90 module_physics_init.F90 \ module_ra_cam.F90 module_ra_cam_support.F90 module_ra_clWRF_support.F90 \ module_ra_gfdleta.F90 module_ra_gsfcsw.F90 module_ra_hs.F90 \ module_ra_rrtm.F90 module_ra_sw.F90 module_sf_bem.F90 module_sf_bep.F90 \ module_sf_bep_bem.F90 module_sf_lake.F90 module_sf_myjsfc.F90 \ module_sf_mynn.F90 module_sf_noah_seaice.F90 module_sf_noahdrv.F90 \ module_sf_noahlsm.F90 module_sf_noahlsm_glacial_only.F90 \ module_sf_noahmp_glacier.F90 module_sf_noahmp_groundwater.F90 \ module_sf_noahmpdrv.F90 module_sf_noahmplsm.F90 module_sf_oml.F90 \ module_sf_pxlsm.F90 module_sf_pxlsm_data.F90 module_sf_pxsfclay.F90 \ module_sf_qnsesfc.F90 module_sf_ruclsm.F90 module_sf_sfclay.F90 \ module_sf_sfclayrev.F90 module_sf_slab.F90 module_sf_temfsfclay.F90 \ module_sf_urban.F90 module_shcu_camuwshcu.F90 module_shcu_grims.F90 \ module_state_description.F90 module_stoch.F90 module_streams.F90 \ module_symbols_util.F90 module_tiles.F90 module_timing.F90 \ module_utility.F90 module_wind_fitch.F90 module_wrf_error.F90 mradb2.F90 \ mradb3.F90 mradb4.F90 mradb5.F90 mradbg.F90 mradf2.F90 mradf3.F90 \ mradf4.F90 mradf5.F90 mradfg.F90 mrftb1.F90 mrftf1.F90 mrfti1.F90 \ my_strtok.c netcdf/attr.c netcdf/dim.c netcdf/error.c \ netcdf/fort-attio.c netcdf/fort-control.c netcdf/fort-dim.c \ netcdf/fort-genatt.c netcdf/fort-geninq.c netcdf/fort-genvar.c \ netcdf/fort-lib.c netcdf/fort-misc.c netcdf/fort-varaio.c \ netcdf/libvers.c netcdf/nc.c netcdf/ncio.c netcdf/ncx.c netcdf/putget.c \ netcdf/string.c netcdf/v1hpg.c netcdf/v2i.c netcdf/var.c \ nl_get_0_routines.F90 nl_get_1_routines.F90 nl_get_2_routines.F90 \ nl_get_3_routines.F90 nl_get_4_routines.F90 nl_get_5_routines.F90 \ nl_get_6_routines.F90 nl_get_7_routines.F90 nl_set_0_routines.F90 \ nl_set_1_routines.F90 nl_set_2_routines.F90 nl_set_7_routines.F90 \ output_wrf.F90 pack_utils.c period.c r4_factor.F90 r4_mcfti1.F90 \ r4_tables.F90 reg_parse.c rfftmb.F90 rfftmf.F90 rfftmi.F90 rsl_bcast.c \ sint.F90 start_domain.F90 start_em.F90 symtab_gen.c task_for_point.c \ track_driver.F90 track_input.F90 type.c wrf_bdyin.F90 wrf_bdyout.F90 \ wrf_debug.F90 wrf_ext_read_field.F90 wrf_ext_write_field.F90 wrf_io.F90 \ wrf_num_bytes_between.c wrf_timeseries.F90 wrf_tsin.F90 xercon.F90 \ xerfft.F90 EXEBASE=diffwrf_621 NEED_MATH= BENCHLANG=F C BENCH_CFLAGS = -DSPEC_AUTO_BYTEORDER=0x12345678 BENCH_FLAGS = -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI BENCH_FPPFLAGS = -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CPORTABILITY = -DSPEC_CASE_FLAG CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v FPORTABILITY = -fconvert=big-endian OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = wrf_s basepeak = 0 benchdir = benchspec benchmark = 621.wrf_s bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = wrf_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = 1 configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = wrf_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 621 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/521.wrf_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = diffwrf_output_01.txt Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/build/build_base_x86_64-m64.0000/Makefile.wrf_s.spec': TUNE=base LABEL=x86_64-m64 NUMBER=621 NAME=wrf_s SOURCES= ESMF_Alarm.F90 ESMF_AlarmClock.F90 ESMF_Base.F90 \ ESMF_BaseTime.F90 ESMF_Calendar.F90 ESMF_Clock.F90 ESMF_Fraction.F90 \ ESMF_Mod.F90 ESMF_Stubs.F90 ESMF_Time.F90 ESMF_TimeInterval.F90 Meat.F90 \ adapt_timestep_em.F90 alloc_2d.c apply_bitmap.c bobrand.c c1f2kb.F90 \ c1f2kf.F90 c1f3kb.F90 c1f3kf.F90 c1f4kb.F90 c1f4kf.F90 c1f5kb.F90 \ c1f5kf.F90 c1fgkb.F90 c1fgkf.F90 c1fm1b.F90 c1fm1f.F90 c_code.c \ cfft1b.F90 cfft1i.F90 cfftmb.F90 cfftmf.F90 cfftmi.F90 cmf2kb.F90 \ cmf2kf.F90 cmf3kb.F90 cmf3kf.F90 cmf4kb.F90 cmf4kf.F90 cmf5kb.F90 \ cmf5kf.F90 cmfgkb.F90 cmfgkf.F90 cmfm1b.F90 cmfm1f.F90 collect_on_comm.c \ cosq1b.F90 cosq1f.F90 cosq1i.F90 cosqb1.F90 cosqf1.F90 cosqmb.F90 \ cosqmf.F90 cosqmi.F90 couple_or_uncouple_em.F90 d1f2kb.F90 d1f2kf.F90 \ d1f3kb.F90 d1f3kf.F90 d1f4kb.F90 d1f4kf.F90 d1f5kb.F90 d1f5kf.F90 \ d1fgkb.F90 d1fgkf.F90 data.c dfft1b.F90 dfft1f.F90 dfft1i.F90 dfftb1.F90 \ dfftf1.F90 dffti1.F90 dfi.F90 field_routines.F90 flt2ieee.c gbyte.c \ get_region_center.c grib1_routines.c grib_dec.c grib_enc.c grib_seek.c \ grib_uthin.c gribgetbds.c gribgetbms.c gribgetgds.c gribgetpds.c \ gribhdr2file.c gribmap.c gribputbds.c gribputgds.c gribputpds.c \ gridnav.c hires_timer.c init_dec_struct.c init_gribhdr.c \ init_modules.F90 init_modules_em.F90 input_wrf.F90 interp_fcn.F90 \ io_int.F90 io_int_idx.c landread.c ld_dec_lookup.c ld_grib_origctrs.c \ libmassv.F90 mcsqb1.F90 mcsqf1.F90 mediation_feedback_domain.F90 \ mediation_force_domain.F90 mediation_integrate.F90 \ mediation_interp_domain.F90 mediation_wrfmain.F90 misc.c \ module_advect_em.F90 module_after_all_rk_steps.F90 \ module_alloc_space_0.F90 module_alloc_space_1.F90 \ module_alloc_space_2.F90 module_alloc_space_3.F90 \ module_alloc_space_4.F90 module_alloc_space_5.F90 \ module_alloc_space_6.F90 module_alloc_space_7.F90 \ module_alloc_space_8.F90 module_alloc_space_9.F90 module_avgflx_em.F90 \ module_bc.F90 module_bc_em.F90 module_bc_time_utilities.F90 \ module_big_step_utilities_em.F90 module_bl_acm.F90 module_bl_boulac.F90 \ module_bl_camuwpbl_driver.F90 module_bl_fogdes.F90 module_bl_gbmpbl.F90 \ module_bl_gfs.F90 module_bl_gfs2011.F90 module_bl_gwdo.F90 \ module_bl_mfshconvpbl.F90 module_bl_mrf.F90 module_bl_myjpbl.F90 \ module_bl_myjurb.F90 module_bl_mynn.F90 module_bl_qnsepbl.F90 \ module_bl_qnsepbl09.F90 module_bl_temf.F90 module_bl_ysu.F90 \ module_cam_bl_diffusion_solver.F90 module_cam_bl_eddy_diff.F90 \ module_cam_cldwat.F90 module_cam_constituents.F90 \ module_cam_error_function.F90 module_cam_esinti.F90 \ module_cam_gffgch.F90 module_cam_molec_diff.F90 \ module_cam_mp_cldwat2m_micro.F90 module_cam_mp_conv_water.F90 \ module_cam_mp_microp_aero.F90 \ module_cam_mp_modal_aero_initialize_data_phys.F90 \ module_cam_mp_ndrop.F90 module_cam_mp_qneg3.F90 \ module_cam_mp_radconstants.F90 module_cam_physconst.F90 \ module_cam_shr_const_mod.F90 module_cam_shr_kind_mod.F90 \ module_cam_support.F90 module_cam_trb_mtn_stress.F90 \ module_cam_upper_bc.F90 module_cam_wv_saturation.F90 \ module_check_a_mundo.F90 module_comm_dm.F90 module_comm_dm_0.F90 \ module_comm_dm_1.F90 module_comm_dm_2.F90 module_comm_dm_3.F90 \ module_comm_dm_4.F90 module_comm_nesting_dm.F90 module_configure.F90 \ module_convtrans_prep.F90 module_cpl.F90 module_cpl_oasis3.F90 \ module_cu_bmj.F90 module_cu_camzm.F90 module_cu_camzm_driver.F90 \ module_cu_g3.F90 module_cu_gd.F90 module_cu_gf.F90 module_cu_kf.F90 \ module_cu_kfeta.F90 module_cu_mesosas.F90 module_cu_nsas.F90 \ module_cu_osas.F90 module_cu_sas.F90 module_cu_tiedtke.F90 \ module_cumulus_driver.F90 module_damping_em.F90 \ module_data_cam_mam_aero.F90 module_data_cam_mam_asect.F90 \ module_data_gocart_dust.F90 module_date_time.F90 module_diag_afwa.F90 \ module_diag_afwa_hail.F90 module_diag_cl.F90 module_diag_misc.F90 \ module_diag_pld.F90 module_diagnostics_driver.F90 \ module_diffusion_em.F90 module_dm.F90 module_domain.F90 \ module_domain_type.F90 module_driver_constants.F90 module_em.F90 \ module_fdda_psufddagd.F90 module_fdda_spnudging.F90 \ module_fddagd_driver.F90 module_fddaobs_driver.F90 \ module_fddaobs_rtfdda.F90 module_first_rk_step_part1.F90 \ module_first_rk_step_part2.F90 module_force_scm.F90 \ module_fr_fire_atm.F90 module_fr_fire_core.F90 module_fr_fire_driver.F90 \ module_fr_fire_driver_wrf.F90 module_fr_fire_model.F90 \ module_fr_fire_phys.F90 module_fr_fire_util.F90 module_gfs_funcphys.F90 \ module_gfs_machine.F90 module_gfs_physcons.F90 module_init_utilities.F90 \ module_integrate.F90 module_intermediate_nmm.F90 \ module_internal_header_util.F90 module_interp_store.F90 module_io.F90 \ module_io_domain.F90 module_io_quilt.F90 module_io_wrf.F90 \ module_lightning_driver.F90 module_llxy.F90 module_ltng_cpmpr92z.F90 \ module_ltng_crmpr92.F90 module_ltng_iccg.F90 module_ltng_lpi.F90 \ module_machine.F90 module_microphysics_driver.F90 \ module_microphysics_zero_out.F90 module_mixactivate.F90 \ module_model_constants.F90 module_mp_HWRF.F90 \ module_mp_cammgmp_driver.F90 module_mp_etanew.F90 module_mp_etaold.F90 \ module_mp_fast_sbm.F90 module_mp_full_sbm.F90 module_mp_gsfcgce.F90 \ module_mp_kessler.F90 module_mp_lin.F90 module_mp_milbrandt2mom.F90 \ module_mp_morr_two_moment.F90 module_mp_nssl_2mom.F90 \ module_mp_radar.F90 module_mp_sbu_ylin.F90 module_mp_thompson.F90 \ module_mp_wdm5.F90 module_mp_wdm6.F90 module_mp_wsm3.F90 \ module_mp_wsm5.F90 module_mp_wsm6.F90 module_nesting.F90 \ module_optional_input.F90 module_pbl_driver.F90 \ module_physics_addtendc.F90 module_physics_init.F90 module_polarfft.F90 \ module_progtm.F90 module_quilt_outbuf_ops.F90 module_ra_HWRF.F90 \ module_ra_aerosol.F90 module_ra_cam.F90 module_ra_cam_support.F90 \ module_ra_clWRF_support.F90 module_ra_flg.F90 module_ra_gfdleta.F90 \ module_ra_goddard.F90 module_ra_gsfcsw.F90 module_ra_hs.F90 \ module_ra_rrtm.F90 module_ra_sw.F90 module_radiation_driver.F90 \ module_sf_3dpwp.F90 module_sf_bem.F90 module_sf_bep.F90 \ module_sf_bep_bem.F90 module_sf_clm.F90 module_sf_fogdes.F90 \ module_sf_gfdl.F90 module_sf_gfs.F90 module_sf_idealscmsfclay.F90 \ module_sf_lake.F90 module_sf_myjsfc.F90 module_sf_mynn.F90 \ module_sf_noah_seaice.F90 module_sf_noah_seaice_drv.F90 \ module_sf_noahdrv.F90 module_sf_noahlsm.F90 \ module_sf_noahlsm_glacial_only.F90 module_sf_noahmp_glacier.F90 \ module_sf_noahmp_groundwater.F90 module_sf_noahmpdrv.F90 \ module_sf_noahmplsm.F90 module_sf_ocean_driver.F90 module_sf_oml.F90 \ module_sf_pxlsm.F90 module_sf_pxlsm_data.F90 module_sf_pxsfclay.F90 \ module_sf_qnsesfc.F90 module_sf_ruclsm.F90 module_sf_scmflux.F90 \ module_sf_scmskintemp.F90 module_sf_sfcdiags.F90 \ module_sf_sfcdiags_ruclsm.F90 module_sf_sfclay.F90 \ module_sf_sfclayrev.F90 module_sf_slab.F90 module_sf_ssib.F90 \ module_sf_sstskin.F90 module_sf_temfsfclay.F90 module_sf_tmnupdate.F90 \ module_sf_urban.F90 module_shallowcu_driver.F90 \ module_shcu_camuwshcu.F90 module_shcu_camuwshcu_driver.F90 \ module_shcu_grims.F90 module_small_step_em.F90 module_soil_pre.F90 \ module_solvedebug_em.F90 module_state_description.F90 module_stoch.F90 \ module_streams.F90 module_surface_driver.F90 module_symbols_util.F90 \ module_tiles.F90 module_timing.F90 module_utility.F90 \ module_wind_fitch.F90 module_wrf_error.F90 module_wrf_top.F90 mradb2.F90 \ mradb3.F90 mradb4.F90 mradb5.F90 mradbg.F90 mradf2.F90 mradf3.F90 \ mradf4.F90 mradf5.F90 mradfg.F90 mrftb1.F90 mrftf1.F90 mrfti1.F90 \ msntb1.F90 msntf1.F90 my_strtok.c nest_init_utils.F90 netcdf/attr.c \ netcdf/dim.c netcdf/error.c netcdf/fort-attio.c netcdf/fort-control.c \ netcdf/fort-dim.c netcdf/fort-genatt.c netcdf/fort-geninq.c \ netcdf/fort-genvar.c netcdf/fort-lib.c netcdf/fort-misc.c \ netcdf/fort-varaio.c netcdf/libvers.c netcdf/nc.c netcdf/ncio.c \ netcdf/ncx.c netcdf/putget.c netcdf/string.c netcdf/v1hpg.c netcdf/v2i.c \ netcdf/var.c nl_get_0_routines.F90 nl_get_1_routines.F90 \ nl_get_2_routines.F90 nl_get_3_routines.F90 nl_get_4_routines.F90 \ nl_get_5_routines.F90 nl_get_6_routines.F90 nl_get_7_routines.F90 \ nl_set_0_routines.F90 nl_set_1_routines.F90 nl_set_2_routines.F90 \ nl_set_6_routines.F90 nl_set_7_routines.F90 output_wrf.F90 \ pack_spatial.c pack_utils.c period.c r1f2kb.F90 r1f2kf.F90 r1f3kb.F90 \ r1f3kf.F90 r1f4kb.F90 r1f4kf.F90 r1f5kb.F90 r1f5kf.F90 r1fgkb.F90 \ r1fgkf.F90 r4_factor.F90 r4_mcfti1.F90 r4_tables.F90 r8_factor.F90 \ r8_mcfti1.F90 r8_tables.F90 read_grib.c reg_parse.c rfft1b.F90 \ rfft1f.F90 rfft1i.F90 rfftb1.F90 rfftf1.F90 rffti1.F90 rfftmb.F90 \ rfftmf.F90 rfftmi.F90 rsl_bcast.c set_bytes.c set_timekeeping.F90 \ setfeenv.c sint.F90 solve_em.F90 solve_interface.F90 start_domain.F90 \ start_em.F90 symtab_gen.c task_for_point.c track_driver.F90 \ track_input.F90 trim.c type.c upd_child_errmsg.c wrf.F90 wrf_bdyin.F90 \ wrf_bdyout.F90 wrf_debug.F90 wrf_ext_read_field.F90 \ wrf_ext_write_field.F90 wrf_fddaobs_in.F90 wrf_io.F90 \ wrf_num_bytes_between.c wrf_shutdown.F90 wrf_timeseries.F90 wrf_tsin.F90 \ xercon.F90 xerfft.F90 z1f2kb.F90 z1f2kf.F90 z1f3kb.F90 z1f3kf.F90 \ z1f4kb.F90 z1f4kf.F90 z1f5kb.F90 z1f5kf.F90 z1fgkb.F90 z1fgkf.F90 \ z1fm1b.F90 z1fm1f.F90 zmf2kb.F90 zmf2kf.F90 zmf3kb.F90 zmf3kf.F90 \ zmf4kb.F90 zmf4kf.F90 zmf5kb.F90 zmf5kf.F90 zmfgkb.F90 zmfgkf.F90 EXEBASE=wrf_s NEED_MATH= BENCHLANG=F C BENCH_CFLAGS = -DSPEC_AUTO_BYTEORDER=0x12345678 BENCH_FLAGS = -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI BENCH_FPPFLAGS = -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CPORTABILITY = -DSPEC_CASE_FLAG CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v FPORTABILITY = -fconvert=big-endian OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = wrf_s basepeak = 0 benchdir = benchspec benchmark = 621.wrf_s bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = wrf_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = 1 configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = wrf_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 621 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/521.wrf_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = diffwrf_output_01.txt Compile for '621.wrf_s' started at: 2021-07-08 14:50:05 (1625770205) Issuing make.clean.diffwrf_621 command 'specmake --output-sync --jobs=1 clean TARGET=diffwrf_621' Start make.clean.diffwrf_621 command: 2021-07-08 14:50:05 (1625770205.10462) Stop make.clean.diffwrf_621 command: 2021-07-08 14:50:05 (1625770205.26976) Elapsed time for make.clean.diffwrf_621 command: 00:00:00 (0.165135145187378) Issuing make.clean.wrf_s command 'specmake --output-sync --jobs=1 clean TARGET=wrf_s' Start make.clean.wrf_s command: 2021-07-08 14:50:05 (1625770205.2731) Stop make.clean.wrf_s command: 2021-07-08 14:50:05 (1625770205.44637) Elapsed time for make.clean.wrf_s command: 00:00:00 (0.173274040222168) Issuing make.diffwrf_621 command 'specmake --output-sync --jobs=1 build TARGET=diffwrf_621' Start make.diffwrf_621 command: 2021-07-08 14:50:05 (1625770205.45023) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Alarm.F90 -o ESMF_Alarm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Base.F90 -o ESMF_Base.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Base.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_Base.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Time.F90 -o ESMF_Time.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_BaseTime.F90 -o ESMF_BaseTime.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_BaseTime.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_BaseTime.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Calendar.F90 -o ESMF_Calendar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Calendar.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_Calendar.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Stubs.F90 -o ESMF_Stubs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Stubs.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_Stubs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_TimeInterval.F90 -o ESMF_TimeInterval.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Fraction.F90 -o ESMF_Fraction.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Fraction.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_Fraction.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_TimeInterval.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_TimeInterval.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Time.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_Time.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Alarm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_Alarm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_AlarmClock.F90 -o ESMF_AlarmClock.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Clock.F90 -o ESMF_Clock.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Clock.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_Clock.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_AlarmClock.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_AlarmClock.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Mod.F90 -o ESMF_Mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Mod.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_Mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 Meat.F90 -o Meat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Meat.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp Meat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 adapt_timestep_em.F90 -o adapt_timestep_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bc_em.F90 -o module_bc_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bc.F90 -o module_bc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_configure.F90 -o module_configure.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_domain_type.F90 -o module_domain_type.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_driver_constants.F90 -o module_driver_constants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_driver_constants.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_driver_constants.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_streams.F90 -o module_streams.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_streams.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_streams.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_utility.F90 -o module_utility.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_symbols_util.F90 -o module_symbols_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_symbols_util.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_symbols_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_utility.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_utility.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_domain_type.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_domain_type.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_state_description.F90 -o module_state_description.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_state_description.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_state_description.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_wrf_error.F90 -o module_wrf_error.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_wrf_error.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_wrf_error.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_configure.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_configure.fppized.f90 module_configure.fppized.f90:11932:25: 11932 | CALL wrf_mem_copy( model_config_rec, buffer, nbytes ) | 1 ...... 11952 | CALL wrf_mem_copy( buffer, model_config_rec, nbytes ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (TYPE(model_config_rec_type)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_model_constants.F90 -o module_model_constants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_model_constants.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_model_constants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bc.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bc_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bc_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_dm.F90 -o module_dm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_dm.F90 -o module_comm_dm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_dm_0.F90 -o module_comm_dm_0.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_domain.F90 -o module_domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_0.F90 -o module_alloc_space_0.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_0.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_alloc_space_0.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_1.F90 -o module_alloc_space_1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_alloc_space_1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_2.F90 -o module_alloc_space_2.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_2.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_alloc_space_2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_3.F90 -o module_alloc_space_3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_3.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_alloc_space_3.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_4.F90 -o module_alloc_space_4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_4.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_alloc_space_4.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_5.F90 -o module_alloc_space_5.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_5.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_alloc_space_5.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_6.F90 -o module_alloc_space_6.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_6.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_alloc_space_6.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_7.F90 -o module_alloc_space_7.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_7.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_alloc_space_7.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_8.F90 -o module_alloc_space_8.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_8.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_alloc_space_8.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_9.F90 -o module_alloc_space_9.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_9.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_alloc_space_9.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_machine.F90 -o module_machine.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_machine.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_machine.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_domain.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_domain.fppized.f90 module_domain.fppized.f90:1158:97: 1158 | CALL wrf_error_fatal('Did you really type > max_hst_mods fields into ', TRIM(fname) ,' ?') | 1 Warning: More actual than formal arguments in procedure call at (1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_dm_0.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_comm_dm_0.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_dm_1.F90 -o module_comm_dm_1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_dm_1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_comm_dm_1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_dm_2.F90 -o module_comm_dm_2.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_dm_2.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_comm_dm_2.fppized.f90 module_comm_dm_2.fppized.f90:3573:1: 3540 | grid%zwtxy, 1,& | 2 ...... 3573 | grid%isltyp, 1,& | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_dm_3.F90 -o module_comm_dm_3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_dm_3.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_comm_dm_3.fppized.f90 module_comm_dm_3.fppized.f90:1300:1: 1300 | grid%kpbl, 2,& | 1 ...... 4133 | grid%wup_mask, 1,& | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_dm_4.F90 -o module_comm_dm_4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_dm_4.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_comm_dm_4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_dm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_comm_dm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_nesting_dm.F90 -o module_comm_nesting_dm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_nesting_dm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_comm_nesting_dm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cpl.F90 -o module_cpl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cpl_oasis3.F90 -o module_cpl_oasis3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cpl_oasis3.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cpl_oasis3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cpl.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cpl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_timing.F90 -o module_timing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_timing.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_timing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_dm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_dm.fppized.f90 module_dm.fppized.f90:1267:43: 1267 | CALL wrf_dm_bcast_bytes ( BUF , N1 * 4 ) | 1 Warning: Type mismatch in argument ‘buf’ at (1); passed INTEGER(4) to INTEGER(1) module_dm.fppized.f90:1279:43: 1279 | CALL wrf_dm_bcast_bytes ( BUF , N1 * 8 ) | 1 Warning: Type mismatch in argument ‘buf’ at (1); passed REAL(4) to INTEGER(1) module_dm.fppized.f90:1287:43: 1287 | CALL wrf_dm_bcast_bytes ( BUF , N1 * 4 ) | 1 Warning: Type mismatch in argument ‘buf’ at (1); passed REAL(4) to INTEGER(1) module_dm.fppized.f90:1295:43: 1295 | CALL wrf_dm_bcast_bytes ( BUF , N1 * 4 ) | 1 Warning: Type mismatch in argument ‘buf’ at (1); passed LOGICAL(4) to INTEGER(1) module_dm.fppized.f90:1718:66: 1718 | PS1,PE1,PS2,PE2,PS3,PE3 ) | 1 Warning: Type mismatch in argument ‘buf’ at (1); passed INTEGER(4) to REAL(4) module_dm.fppized.f90:1740:66: 1740 | PS1,PE1,PS2,PE2,PS3,PE3 ) | 1 Warning: Type mismatch in argument ‘buf’ at (1); passed LOGICAL(4) to REAL(4) module_dm.fppized.f90:2019:64: 2019 | PS1,PE1,PS2,PE2,PS3,PE3 ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed INTEGER(4) to REAL(4) module_dm.fppized.f90:2039:64: 2039 | PS1,PE1,PS2,PE2,PS3,PE3 ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed LOGICAL(4) to REAL(4) module_dm.fppized.f90:4993:18: 4842 | ngrid%u_2, & ! ND field | 2 ...... 4993 | ngrid%moist(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:5020:18: 4842 | ngrid%u_2, & ! ND field | 2 ...... 5020 | ngrid%dfi_moist(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:5047:18: 4842 | ngrid%u_2, & ! ND field | 2 ...... 5047 | ngrid%scalar(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:5074:18: 4842 | ngrid%u_2, & ! ND field | 2 ...... 5074 | ngrid%dfi_scalar(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:5117:18: 5100 | ngrid%o3rad, & ! ND field | 2 ...... 5117 | ngrid%aerod(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:5341:18: 4842 | ngrid%u_2, & ! ND field | 2 ...... 5341 | ngrid%tracer(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:10932:18: 10495 | ngrid%xlat, & ! ND field | 2 ...... 10932 | ngrid%moist(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:10950:18: 10495 | ngrid%xlat, & ! ND field | 2 ...... 10950 | ngrid%dfi_moist(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:10984:18: 10495 | ngrid%xlat, & ! ND field | 2 ...... 10984 | ngrid%scalar(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:11002:18: 10495 | ngrid%xlat, & ! ND field | 2 ...... 11002 | ngrid%dfi_scalar(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:11364:18: 11136 | grid%urb_param, & ! CD field | 2 ...... 11364 | grid%ivgtyp, & ! CD field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_dm.fppized.f90:11883:18: 11867 | grid%frc_urb2d, & ! CD field | 2 ...... 11883 | grid%utype_urb2d, & ! CD field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_dm.fppized.f90:12112:18: 10495 | ngrid%xlat, & ! ND field | 2 ...... 12112 | ngrid%aerod(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:13810:18: 11080 | grid%shdmax, & ! CD field | 2 ...... 13810 | grid%isnowxy, & ! CD field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_dm.fppized.f90:15618:18: 15238 | grid%tsk_mosaic, & ! CD field | 2 ...... 15618 | grid%mosaic_cat_index, & ! CD field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_dm.fppized.f90:18573:18: 10495 | ngrid%xlat, & ! ND field | 2 ...... 18573 | ngrid%tracer(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:19879:18: 19574 | ngrid%u_2, & ! ND field | 2 ...... 19879 | ngrid%moist(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:19897:18: 19574 | ngrid%u_2, & ! ND field | 2 ...... 19897 | ngrid%dfi_moist(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:19931:18: 19574 | ngrid%u_2, & ! ND field | 2 ...... 19931 | ngrid%scalar(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:19949:18: 19574 | ngrid%u_2, & ! ND field | 2 ...... 19949 | ngrid%dfi_scalar(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:20218:18: 20074 | grid%urb_param, & ! CD field | 2 ...... 20218 | grid%ivgtyp, & ! CD field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_dm.fppized.f90:20586:18: 19522 | grid%xlat, & ! CD field | 2 ...... 20586 | grid%utype_urb2d, & ! CD field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_dm.fppized.f90:25983:18: 19574 | ngrid%u_2, & ! ND field | 2 ...... 25983 | ngrid%tracer(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:31528:61: 31528 | CALL wrf_dm_gatherv_double(v, elemsize , km_s, km_e) | 1 Warning: Type mismatch in argument ‘v’ at (1); passed REAL(4) to REAL(8) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o adapt_timestep_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp adapt_timestep_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f2kb.F90 -o c1f2kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f2kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp c1f2kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f3kb.F90 -o c1f3kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f3kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp c1f3kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f4kb.F90 -o c1f4kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f4kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp c1f4kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f5kb.F90 -o c1f5kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f5kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp c1f5kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1fgkb.F90 -o c1fgkb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1fgkb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp c1fgkb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1fm1b.F90 -o c1fm1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1fm1b.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp c1fm1b.fppized.f90 c1fm1b.fppized.f90:75:33: 73 | call c1f2kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 74 | else if ( nbr == 2 ) then 75 | call c1f2kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1b.fppized.f90:79:33: 77 | call c1f3kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 78 | else if ( nbr == 4 ) then 79 | call c1f3kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1b.fppized.f90:83:33: 81 | call c1f4kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 82 | else if ( nbr == 6 ) then 83 | call c1f4kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1b.fppized.f90:87:33: 85 | call c1f5kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 86 | else if ( nbr == 8 ) then 87 | call c1f5kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1b.fppized.f90:91:42: 89 | call c1fgkb ( ido, ip, l1, lid, na, c, c, inc2, ch, ch, 2, wa(iw) ) | 2 90 | else if ( nbr == 10 ) then 91 | call c1fgkb ( ido, ip, l1, lid, na, ch, ch, 2, c, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c_code.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 c_code.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cfft1b.F90 -o cfft1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfft1b.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cfft1b.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cfft1i.F90 -o cfft1i.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfft1i.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cfft1i.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o collect_on_comm.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 collect_on_comm.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 couple_or_uncouple_em.F90 -o couple_or_uncouple_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_tiles.F90 -o module_tiles.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_tiles.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_tiles.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o couple_or_uncouple_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp couple_or_uncouple_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o data.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 data.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 diffwrf.F90 -o diffwrf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_io.F90 -o wrf_io.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_io.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp wrf_io.fppized.f90 wrf_io.fppized.f90:7415:58: 3123 | stat = NF_PUT_ATT_INT (DH%NCID,NF_GLOBAL,Element,NF_INT,Count,Data) | 2 ...... 7415 | stat = NF_PUT_ATT_INT(NCID,VarID,'FieldType',NF_INT,1,FieldType) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) wrf_io.fppized.f90:7477:71: 7477 | ,i1,i2,j1,j2,k1,k2 ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to REAL(8) wrf_io.fppized.f90:7616:49: 2465 | stat = NF_GET_ATT_INT (DH%NCID,NF_GLOBAL,Element,Buffer) | 2 ...... 7616 | stat = NF_GET_ATT_INT(NCID,VarID,'FieldType',FType) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) wrf_io.fppized.f90:8076:52: 2465 | stat = NF_GET_ATT_INT (DH%NCID,NF_GLOBAL,Element,Buffer) | 2 ...... 8076 | stat = NF_GET_ATT_INT(DH%NCID,VarID,'FieldType',WrfType) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diffwrf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp diffwrf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 field_routines.F90 -o field_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o field_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp field_routines.fppized.f90 field_routines.fppized.f90:156:52: 108 | stat = NF_PUT_VARA_INT(NCID,VarID,VStart,VCount,Data) | 2 ...... 156 | stat = NF_PUT_VARA_INT(NCID,VarID,VStart,VCount,Buffer) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) field_routines.fppized.f90:158:52: 110 | stat = NF_GET_VARA_INT(NCID,VarID,VStart,VCount,Data) | 2 ...... 158 | stat = NF_GET_VARA_INT(NCID,VarID,VStart,VCount,Buffer) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o hires_timer.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 hires_timer.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 input_wrf.F90 -o input_wrf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bc_time_utilities.F90 -o module_bc_time_utilities.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bc_time_utilities.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bc_time_utilities.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_date_time.F90 -o module_date_time.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_date_time.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_date_time.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_io.F90 -o module_io.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_io.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_io.fppized.f90 module_io.fppized.f90:20133:23: 20133 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to REAL(8) module_io.fppized.f90:20143:23: 20143 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to REAL(4) module_io.fppized.f90:20163:23: 20163 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to LOGICAL(4) module_io.fppized.f90:20393:45: 20393 | Status ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed LOGICAL(4) to REAL(4) module_io.fppized.f90:20278:45: 20278 | Status ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed INTEGER(4) to REAL(4) module_io.fppized.f90:20336:45: 20336 | Status ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed REAL(8) to REAL(4) module_io.fppized.f90:20601:23: 20601 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to REAL(8) module_io.fppized.f90:20611:23: 20611 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to REAL(4) module_io.fppized.f90:20631:23: 20631 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to LOGICAL(4) module_io.fppized.f90:20871:45: 20871 | Status ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed LOGICAL(4) to REAL(4) module_io.fppized.f90:21080:31: 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 ...... 21080 | CALL wrf_dm_bcast_bytes( Field , 8*memsize ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). module_io.fppized.f90:20814:45: 20814 | Status ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed INTEGER(4) to REAL(4) module_io.fppized.f90:20757:45: 20757 | Status ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed REAL(8) to REAL(4) module_io.fppized.f90:15831:78: 15831 | CALL ext_ncd_put_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 16056 | CALL ext_ncd_put_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:15847:78: 15847 | CALL ext_int_put_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 16072 | CALL ext_int_put_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:15864:73: 15864 | CALL wrf_quilt_put_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 16089 | CALL wrf_quilt_put_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:15379:78: 15379 | CALL ext_ncd_get_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 15604 | CALL ext_ncd_get_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:15395:78: 15395 | CALL ext_int_get_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 15620 | CALL ext_int_get_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:15412:73: 15412 | CALL wrf_quilt_get_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 15637 | CALL wrf_quilt_get_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14927:78: 14927 | CALL ext_ncd_put_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 15152 | CALL ext_ncd_put_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14943:78: 14943 | CALL ext_int_put_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 15168 | CALL ext_int_put_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14960:73: 14960 | CALL wrf_quilt_put_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 15185 | CALL wrf_quilt_put_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14475:78: 14475 | CALL ext_ncd_get_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 14700 | CALL ext_ncd_get_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14480:35: 14480 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14491:78: 14491 | CALL ext_int_get_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 14716 | CALL ext_int_get_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14496:36: 14496 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14508:73: 14508 | CALL wrf_quilt_get_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 14733 | CALL wrf_quilt_get_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14023:77: 14023 | CALL ext_ncd_put_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 14248 | CALL ext_ncd_put_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14039:77: 14039 | CALL ext_int_put_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 14264 | CALL ext_int_put_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14056:72: 14056 | CALL wrf_quilt_put_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 14281 | CALL wrf_quilt_put_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:13571:77: 13571 | CALL ext_ncd_get_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 13796 | CALL ext_ncd_get_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:13587:77: 13587 | CALL ext_int_get_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 13812 | CALL ext_int_get_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:13604:72: 13604 | CALL wrf_quilt_get_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 13829 | CALL wrf_quilt_get_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:13119:75: 13119 | CALL ext_ncd_put_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 13344 | CALL ext_ncd_put_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:13135:75: 13135 | CALL ext_int_put_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 13360 | CALL ext_int_put_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:13152:70: 13152 | CALL wrf_quilt_put_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 13377 | CALL wrf_quilt_put_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:12667:75: 12667 | CALL ext_ncd_get_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 12892 | CALL ext_ncd_get_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:12683:75: 12683 | CALL ext_int_get_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 12908 | CALL ext_int_get_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:12700:70: 12700 | CALL wrf_quilt_get_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 12925 | CALL wrf_quilt_get_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:11760:70: 11760 | CALL ext_ncd_put_var_ti_logical ( Hndl, Element, Varname, Data, & | 1 ...... 11985 | CALL ext_ncd_put_var_ti_logical ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:11776:70: 11776 | CALL ext_int_put_var_ti_logical ( Hndl, Element, Varname, Data, & | 1 ...... 12001 | CALL ext_int_put_var_ti_logical ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:11793:65: 11793 | CALL wrf_quilt_put_var_ti_logical ( Hndl, Element, Varname, Data, & | 1 ...... 12018 | CALL wrf_quilt_put_var_ti_logical ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:11308:70: 11308 | CALL ext_ncd_get_var_ti_logical ( Hndl, Element, Varname, Data, & | 1 ...... 11533 | CALL ext_ncd_get_var_ti_logical ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:11324:70: 11324 | CALL ext_int_get_var_ti_logical ( Hndl, Element, Varname, Data, & | 1 ...... 11549 | CALL ext_int_get_var_ti_logical ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:11341:65: 11341 | CALL wrf_quilt_get_var_ti_logical ( Hndl, Element, Varname, Data, & | 1 ...... 11566 | CALL wrf_quilt_get_var_ti_logical ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10856:70: 10856 | CALL ext_ncd_put_var_ti_integer ( Hndl, Element, Varname, Data, & | 1 ...... 11081 | CALL ext_ncd_put_var_ti_integer ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10872:70: 10872 | CALL ext_int_put_var_ti_integer ( Hndl, Element, Varname, Data, & | 1 ...... 11097 | CALL ext_int_put_var_ti_integer ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10889:65: 10889 | CALL wrf_quilt_put_var_ti_integer ( Hndl, Element, Varname, Data, & | 1 ...... 11114 | CALL wrf_quilt_put_var_ti_integer ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10404:70: 10404 | CALL ext_ncd_get_var_ti_integer ( Hndl, Element, Varname, Data, & | 1 ...... 10629 | CALL ext_ncd_get_var_ti_integer ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10409:35: 10409 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10420:70: 10420 | CALL ext_int_get_var_ti_integer ( Hndl, Element, Varname, Data, & | 1 ...... 10645 | CALL ext_int_get_var_ti_integer ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10425:36: 10425 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10437:65: 10437 | CALL wrf_quilt_get_var_ti_integer ( Hndl, Element, Varname, Data, & | 1 ...... 10662 | CALL wrf_quilt_get_var_ti_integer ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9952:69: 9952 | CALL ext_ncd_put_var_ti_double ( Hndl, Element, Varname, Data, & | 1 ...... 10177 | CALL ext_ncd_put_var_ti_double ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9968:69: 9968 | CALL ext_int_put_var_ti_double ( Hndl, Element, Varname, Data, & | 1 ...... 10193 | CALL ext_int_put_var_ti_double ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9985:64: 9985 | CALL wrf_quilt_put_var_ti_double ( Hndl, Element, Varname, Data, & | 1 ...... 10210 | CALL wrf_quilt_put_var_ti_double ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9500:69: 9500 | CALL ext_ncd_get_var_ti_double ( Hndl, Element, Varname, Data, & | 1 ...... 9725 | CALL ext_ncd_get_var_ti_double ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9516:69: 9516 | CALL ext_int_get_var_ti_double ( Hndl, Element, Varname, Data, & | 1 ...... 9741 | CALL ext_int_get_var_ti_double ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9533:64: 9533 | CALL wrf_quilt_get_var_ti_double ( Hndl, Element, Varname, Data, & | 1 ...... 9758 | CALL wrf_quilt_get_var_ti_double ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9048:67: 9048 | CALL ext_ncd_put_var_ti_real ( Hndl, Element, Varname, Data, & | 1 ...... 9273 | CALL ext_ncd_put_var_ti_real ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9064:67: 9064 | CALL ext_int_put_var_ti_real ( Hndl, Element, Varname, Data, & | 1 ...... 9289 | CALL ext_int_put_var_ti_real ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9081:62: 9081 | CALL wrf_quilt_put_var_ti_real ( Hndl, Element, Varname, Data, & | 1 ...... 9306 | CALL wrf_quilt_put_var_ti_real ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:8596:67: 8596 | CALL ext_ncd_get_var_ti_real ( Hndl, Element, Varname, Data, & | 1 ...... 8821 | CALL ext_ncd_get_var_ti_real ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:8612:67: 8612 | CALL ext_int_get_var_ti_real ( Hndl, Element, Varname, Data, & | 1 ...... 8837 | CALL ext_int_get_var_ti_real ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:8629:62: 8629 | CALL wrf_quilt_get_var_ti_real ( Hndl, Element, Varname, Data, & | 1 ...... 8854 | CALL wrf_quilt_get_var_ti_real ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:7689:70: 7689 | CALL ext_ncd_put_dom_td_logical ( Hndl, Element, DateStr, Data, & | 1 ...... 7914 | CALL ext_ncd_put_dom_td_logical ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:7705:70: 7705 | CALL ext_int_put_dom_td_logical ( Hndl, Element, DateStr, Data, & | 1 ...... 7930 | CALL ext_int_put_dom_td_logical ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:7722:65: 7722 | CALL wrf_quilt_put_dom_td_logical ( Hndl, Element, DateStr, Data, & | 1 ...... 7947 | CALL wrf_quilt_put_dom_td_logical ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:7237:70: 7237 | CALL ext_ncd_get_dom_td_logical ( Hndl, Element, DateStr, Data, & | 1 ...... 7462 | CALL ext_ncd_get_dom_td_logical ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:7253:70: 7253 | CALL ext_int_get_dom_td_logical ( Hndl, Element, DateStr, Data, & | 1 ...... 7478 | CALL ext_int_get_dom_td_logical ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:7270:65: 7270 | CALL wrf_quilt_get_dom_td_logical ( Hndl, Element, DateStr, Data, & | 1 ...... 7495 | CALL wrf_quilt_get_dom_td_logical ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6785:70: 6785 | CALL ext_ncd_put_dom_td_integer ( Hndl, Element, DateStr, Data, & | 1 ...... 7010 | CALL ext_ncd_put_dom_td_integer ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6801:70: 6801 | CALL ext_int_put_dom_td_integer ( Hndl, Element, DateStr, Data, & | 1 ...... 7026 | CALL ext_int_put_dom_td_integer ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6818:65: 6818 | CALL wrf_quilt_put_dom_td_integer ( Hndl, Element, DateStr, Data, & | 1 ...... 7043 | CALL wrf_quilt_put_dom_td_integer ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6333:70: 6333 | CALL ext_ncd_get_dom_td_integer ( Hndl, Element, DateStr, Data, & | 1 ...... 6558 | CALL ext_ncd_get_dom_td_integer ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6338:35: 6338 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6349:70: 6349 | CALL ext_int_get_dom_td_integer ( Hndl, Element, DateStr, Data, & | 1 ...... 6574 | CALL ext_int_get_dom_td_integer ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6354:36: 6354 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6366:65: 6366 | CALL wrf_quilt_get_dom_td_integer ( Hndl, Element, DateStr, Data, & | 1 ...... 6591 | CALL wrf_quilt_get_dom_td_integer ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5881:69: 5881 | CALL ext_ncd_put_dom_td_double ( Hndl, Element, DateStr, Data, & | 1 ...... 6106 | CALL ext_ncd_put_dom_td_double ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5897:69: 5897 | CALL ext_int_put_dom_td_double ( Hndl, Element, DateStr, Data, & | 1 ...... 6122 | CALL ext_int_put_dom_td_double ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5914:64: 5914 | CALL wrf_quilt_put_dom_td_double ( Hndl, Element, DateStr, Data, & | 1 ...... 6139 | CALL wrf_quilt_put_dom_td_double ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5429:69: 5429 | CALL ext_ncd_get_dom_td_double ( Hndl, Element, DateStr, Data, & | 1 ...... 5654 | CALL ext_ncd_get_dom_td_double ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5445:69: 5445 | CALL ext_int_get_dom_td_double ( Hndl, Element, DateStr, Data, & | 1 ...... 5670 | CALL ext_int_get_dom_td_double ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5462:64: 5462 | CALL wrf_quilt_get_dom_td_double ( Hndl, Element, DateStr, Data, & | 1 ...... 5687 | CALL wrf_quilt_get_dom_td_double ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:4977:67: 4977 | CALL ext_ncd_put_dom_td_real ( Hndl, Element, DateStr, Data, & | 1 ...... 5202 | CALL ext_ncd_put_dom_td_real ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:4993:67: 4993 | CALL ext_int_put_dom_td_real ( Hndl, Element, DateStr, Data, & | 1 ...... 5218 | CALL ext_int_put_dom_td_real ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5010:62: 5010 | CALL wrf_quilt_put_dom_td_real ( Hndl, Element, DateStr, Data, & | 1 ...... 5235 | CALL wrf_quilt_put_dom_td_real ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:4525:67: 4525 | CALL ext_ncd_get_dom_td_real ( Hndl, Element, DateStr, Data, & | 1 ...... 4750 | CALL ext_ncd_get_dom_td_real ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:4541:67: 4541 | CALL ext_int_get_dom_td_real ( Hndl, Element, DateStr, Data, & | 1 ...... 4766 | CALL ext_int_get_dom_td_real ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:4558:62: 4558 | CALL wrf_quilt_get_dom_td_real ( Hndl, Element, DateStr, Data, & | 1 ...... 4783 | CALL wrf_quilt_get_dom_td_real ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:3618:62: 3618 | CALL ext_ncd_put_dom_ti_logical ( Hndl, Element, Data, & | 1 ...... 3843 | CALL ext_ncd_put_dom_ti_logical ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:3634:62: 3634 | CALL ext_int_put_dom_ti_logical ( Hndl, Element, Data, & | 1 ...... 3859 | CALL ext_int_put_dom_ti_logical ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:3651:57: 3651 | CALL wrf_quilt_put_dom_ti_logical ( Hndl, Element, Data, & | 1 ...... 3876 | CALL wrf_quilt_put_dom_ti_logical ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:3166:62: 3166 | CALL ext_ncd_get_dom_ti_logical ( Hndl, Element, Data, & | 1 ...... 3391 | CALL ext_ncd_get_dom_ti_logical ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:3182:62: 3182 | CALL ext_int_get_dom_ti_logical ( Hndl, Element, Data, & | 1 ...... 3407 | CALL ext_int_get_dom_ti_logical ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:3199:57: 3199 | CALL wrf_quilt_get_dom_ti_logical ( Hndl, Element, Data, & | 1 ...... 3424 | CALL wrf_quilt_get_dom_ti_logical ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2714:62: 2714 | CALL ext_ncd_put_dom_ti_integer ( Hndl, Element, Data, & | 1 ...... 2939 | CALL ext_ncd_put_dom_ti_integer ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2730:62: 2730 | CALL ext_int_put_dom_ti_integer ( Hndl, Element, Data, & | 1 ...... 2955 | CALL ext_int_put_dom_ti_integer ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2747:57: 2747 | CALL wrf_quilt_put_dom_ti_integer ( Hndl, Element, Data, & | 1 ...... 2972 | CALL wrf_quilt_put_dom_ti_integer ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2262:62: 2262 | CALL ext_ncd_get_dom_ti_integer ( Hndl, Element, Data, & | 1 ...... 2487 | CALL ext_ncd_get_dom_ti_integer ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2267:35: 2267 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2278:62: 2278 | CALL ext_int_get_dom_ti_integer ( Hndl, Element, Data, & | 1 ...... 2503 | CALL ext_int_get_dom_ti_integer ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2283:36: 2283 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2295:57: 2295 | CALL wrf_quilt_get_dom_ti_integer ( Hndl, Element, Data, & | 1 ...... 2520 | CALL wrf_quilt_get_dom_ti_integer ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:1810:61: 1810 | CALL ext_ncd_put_dom_ti_double ( Hndl, Element, Data, & | 1 ...... 2035 | CALL ext_ncd_put_dom_ti_double ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:1826:61: 1826 | CALL ext_int_put_dom_ti_double ( Hndl, Element, Data, & | 1 ...... 2051 | CALL ext_int_put_dom_ti_double ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:1843:56: 1843 | CALL wrf_quilt_put_dom_ti_double ( Hndl, Element, Data, & | 1 ...... 2068 | CALL wrf_quilt_put_dom_ti_double ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:1358:61: 1358 | CALL ext_ncd_get_dom_ti_double ( Hndl, Element, Data, & | 1 ...... 1583 | CALL ext_ncd_get_dom_ti_double ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:1374:61: 1374 | CALL ext_int_get_dom_ti_double ( Hndl, Element, Data, & | 1 ...... 1599 | CALL ext_int_get_dom_ti_double ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:1391:56: 1391 | CALL wrf_quilt_get_dom_ti_double ( Hndl, Element, Data, & | 1 ...... 1616 | CALL wrf_quilt_get_dom_ti_double ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:906:59: 906 | CALL ext_ncd_put_dom_ti_real ( Hndl, Element, Data, & | 1 ...... 1131 | CALL ext_ncd_put_dom_ti_real ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:922:59: 922 | CALL ext_int_put_dom_ti_real ( Hndl, Element, Data, & | 1 ...... 1147 | CALL ext_int_put_dom_ti_real ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:939:54: 939 | CALL wrf_quilt_put_dom_ti_real ( Hndl, Element, Data, & | 1 ...... 1164 | CALL wrf_quilt_put_dom_ti_real ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:454:59: 454 | CALL ext_ncd_get_dom_ti_real ( Hndl, Element, Data, & | 1 ...... 679 | CALL ext_ncd_get_dom_ti_real ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:470:59: 470 | CALL ext_int_get_dom_ti_real ( Hndl, Element, Data, & | 1 ...... 695 | CALL ext_int_get_dom_ti_real ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:487:54: 487 | CALL wrf_quilt_get_dom_ti_real ( Hndl, Element, Data, & | 1 ...... 712 | CALL wrf_quilt_get_dom_ti_real ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:19326:35: 19326 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed LOGICAL(4) to INTEGER(4) module_io.fppized.f90:19749:36: 19749 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed LOGICAL(4) to INTEGER(4) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_io_wrf.F90 -o module_io_wrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_io_wrf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_io_wrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o input_wrf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp input_wrf.fppized.f90 input_wrf.fppized.f90:1027:36: 1009 | p%rfield_0d , & ! Field | 2 ...... 1027 | p%dfield_0d , & ! Field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/REAL(4)). input_wrf.fppized.f90:1108:39: 1009 | p%rfield_0d , & ! Field | 2 ...... 1108 | f_vint_1d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) input_wrf.fppized.f90:1132:39: 1009 | p%rfield_0d , & ! Field | 2 ...... 1132 | p%rfield_1d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) input_wrf.fppized.f90:1219:36: 1009 | p%rfield_0d , & ! Field | 2 ...... 1219 | p%rfield_2d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) input_wrf.fppized.f90:1320:39: 1009 | p%rfield_0d , & ! Field | 2 ...... 1320 | f_vint_3d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) input_wrf.fppized.f90:1347:39: 1009 | p%rfield_0d , & ! Field | 2 ...... 1347 | p%rfield_3d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) input_wrf.fppized.f90:1484:36: 1433 | f_vint_4d , & ! Field | 2 ...... 1484 | p%dfield_4d , & ! Field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/REAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 interp_fcn.F90 -o interp_fcn.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_interp_store.F90 -o module_interp_store.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_interp_store.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_interp_store.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o interp_fcn.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp interp_fcn.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 io_int.F90 -o io_int.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_internal_header_util.F90 -o module_internal_header_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_internal_header_util.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_internal_header_util.fppized.f90 module_internal_header_util.fppized.f90:1717:39: 1717 | DataHandle, Data, Count, code ) | 1 ...... 1779 | DataHandle, Data, Count, code ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_internal_header_util.fppized.f90:1592:39: 1592 | DataHandle, Data, Count, code ) | 1 ...... 1654 | DataHandle, Data, Count, code ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_int.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp io_int.fppized.f90 io_int.fppized.f90:1737:94: 1737 | CALL ifieldread( DataHandle, Field, MemoryStart, MemoryEnd, PatchStart, PatchEnd ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed REAL(4) to INTEGER(4) io_int.fppized.f90:1843:89: 1843 | CALL ifieldwrite( DataHandle, Field, MemoryStart, MemoryEnd, PatchStart, PatchEnd ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed REAL(4) to INTEGER(4) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 libmassv.F90 -o libmassv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o libmassv.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp libmassv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mediation_wrfmain.F90 -o mediation_wrfmain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_io_domain.F90 -o module_io_domain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_io_domain.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_io_domain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mediation_wrfmain.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mediation_wrfmain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o misc.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 misc.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_avgflx_em.F90 -o module_avgflx_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_avgflx_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_avgflx_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_acm.F90 -o module_bl_acm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_acm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_acm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_boulac.F90 -o module_bl_boulac.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_boulac.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_boulac.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_camuwpbl_driver.F90 -o module_bl_camuwpbl_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_bl_diffusion_solver.F90 -o module_cam_bl_diffusion_solver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_support.F90 -o module_cam_support.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_shr_kind_mod.F90 -o module_cam_shr_kind_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_shr_kind_mod.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_shr_kind_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_support.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_bl_diffusion_solver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_bl_diffusion_solver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_bl_eddy_diff.F90 -o module_cam_bl_eddy_diff.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_bl_eddy_diff.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_bl_eddy_diff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_constituents.F90 -o module_cam_constituents.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_physconst.F90 -o module_cam_physconst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_shr_const_mod.F90 -o module_cam_shr_const_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_shr_const_mod.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_shr_const_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_physconst.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_physconst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_constituents.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_constituents.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_molec_diff.F90 -o module_cam_molec_diff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_upper_bc.F90 -o module_cam_upper_bc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_upper_bc.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_upper_bc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_molec_diff.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_molec_diff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_trb_mtn_stress.F90 -o module_cam_trb_mtn_stress.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_trb_mtn_stress.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_trb_mtn_stress.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_wv_saturation.F90 -o module_cam_wv_saturation.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_gffgch.F90 -o module_cam_gffgch.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_gffgch.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_gffgch.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_wv_saturation.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_wv_saturation.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_data_cam_mam_aero.F90 -o module_data_cam_mam_aero.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_radconstants.F90 -o module_cam_mp_radconstants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_radconstants.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_mp_radconstants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_data_cam_mam_aero.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_data_cam_mam_aero.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_camuwpbl_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_camuwpbl_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_gbmpbl.F90 -o module_bl_gbmpbl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_gbmpbl.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_gbmpbl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_gfs.F90 -o module_bl_gfs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_gfs_machine.F90 -o module_gfs_machine.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_gfs_machine.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_gfs_machine.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_gfs_physcons.F90 -o module_gfs_physcons.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_gfs_physcons.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_gfs_physcons.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_gfs.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_gfs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_gfs2011.F90 -o module_bl_gfs2011.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_gfs2011.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_gfs2011.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_mfshconvpbl.F90 -o module_bl_mfshconvpbl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_mfshconvpbl.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_mfshconvpbl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_mrf.F90 -o module_bl_mrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_mrf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_mrf.fppized.f90 module_bl_mrf.fppized.f90:509:23: 509 | DO 24 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 24 at (1) module_bl_mrf.fppized.f90:519:23: 519 | DO 30 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 30 at (1) module_bl_mrf.fppized.f90:540:23: 540 | DO 50 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 50 at (1) module_bl_mrf.fppized.f90:556:23: 556 | DO 70 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 70 at (1) module_bl_mrf.fppized.f90:593:75: 593 | DO 110 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 110 at (1) module_bl_mrf.fppized.f90:598:24: 598 | DO 120 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 120 at (1) module_bl_mrf.fppized.f90:604:24: 604 | DO 130 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 130 at (1) module_bl_mrf.fppized.f90:626:24: 626 | DO 230 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 230 at (1) module_bl_mrf.fppized.f90:632:24: 632 | DO 240 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_bl_mrf.fppized.f90:1213:24: 1213 | DO 820 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 820 at (1) module_bl_mrf.fppized.f90:1241:81: 1241 | DO 830 I=IBGN,IEND | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 830 at (1) module_bl_mrf.fppized.f90:1248:81: 1248 | DO 840 I=IBGN,IEND | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 840 at (1) module_bl_mrf.fppized.f90:1255:26: 1255 | DO 850 I=IBGN,IEND | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 850 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_myjpbl.F90 -o module_bl_myjpbl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_myjpbl.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_myjpbl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_myjurb.F90 -o module_bl_myjurb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_myjurb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_myjurb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_mynn.F90 -o module_bl_mynn.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_mynn.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_mynn.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_qnsepbl.F90 -o module_bl_qnsepbl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_qnsepbl.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_qnsepbl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_qnsepbl09.F90 -o module_bl_qnsepbl09.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_qnsepbl09.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_qnsepbl09.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_temf.F90 -o module_bl_temf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_temf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_temf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_ysu.F90 -o module_bl_ysu.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_ysu.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_ysu.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_cldwat.F90 -o module_cam_cldwat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_cldwat.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_cldwat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_error_function.F90 -o module_cam_error_function.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_error_function.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_error_function.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_esinti.F90 -o module_cam_esinti.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_esinti.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_esinti.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_cldwat2m_micro.F90 -o module_cam_mp_cldwat2m_micro.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_cldwat2m_micro.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_mp_cldwat2m_micro.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_conv_water.F90 -o module_cam_mp_conv_water.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_conv_water.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_mp_conv_water.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_microp_aero.F90 -o module_cam_mp_microp_aero.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_ndrop.F90 -o module_cam_mp_ndrop.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_ndrop.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_mp_ndrop.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_microp_aero.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_mp_microp_aero.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_modal_aero_initialize_data_phys.F90 -o module_cam_mp_modal_aero_initialize_data_phys.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_modal_aero_initialize_data_phys.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_mp_modal_aero_initialize_data_phys.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_qneg3.F90 -o module_cam_mp_qneg3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_qneg3.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cam_mp_qneg3.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_bmj.F90 -o module_cu_bmj.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_bmj.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cu_bmj.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_camzm.F90 -o module_cu_camzm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_camzm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cu_camzm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_camzm_driver.F90 -o module_cu_camzm_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_data_cam_mam_asect.F90 -o module_data_cam_mam_asect.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_data_cam_mam_asect.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_data_cam_mam_asect.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_cammgmp_driver.F90 -o module_mp_cammgmp_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_cammgmp_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_cammgmp_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_camzm_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cu_camzm_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_g3.F90 -o module_cu_g3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_g3.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cu_g3.fppized.f90 module_cu_g3.fppized.f90:2466:23: 2466 | DO 100 i=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_cu_g3.fppized.f90:4066:24: 4066 | DO 100 i=its,itf | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_cu_g3.fppized.f90:4287:24: 4287 | DO 100 i=its,itf | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_gd.F90 -o module_cu_gd.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_gd.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cu_gd.fppized.f90 module_cu_gd.fppized.f90:2108:23: 2108 | DO 100 i=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_cu_gd.fppized.f90:3792:24: 3792 | DO 100 i=its,itf | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_cu_gd.fppized.f90:4014:24: 4014 | DO 100 i=its,itf | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_kf.F90 -o module_cu_kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cu_kf.fppized.f90 module_cu_kf.fppized.f90:532:72: 532 | 63 IF(DPTHMX.GT.6.E3)GOTO 64 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 63 at (1) module_cu_kf.fppized.f90:552:62: 552 | 17 PMIX=PMIX+DP(NK)*P0(NK) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 17 at (1) module_cu_kf.fppized.f90:936:60: 936 | 70 PPTICE(NK)=0. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 70 at (1) module_cu_kf.fppized.f90:957:53: 957 | 71 DPTT=DPTT+DP(NJ) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 71 at (1) module_cu_kf.fppized.f90:1063:51: 1063 | 95 OMG(NK)=0. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 95 at (1) module_cu_kf.fppized.f90:1083:71: 1083 | 100 OMG(NK)=0. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 100 at (1) module_cu_kf.fppized.f90:1289:73: 1289 | 135 THTAD(ND)=TZ(ND)*(P00/P0(ND))**(0.2854*(1.-0.28*QD(ND))) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 135 at (1) module_cu_kf.fppized.f90:1310:52: 1310 | 117 QD(NDK)=0. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 117 at (1) module_cu_kf.fppized.f90:1330:62: 1330 | 132 PPR=PPR+PPTLIQ(NM)+PPTICE(NM) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 132 at (1) module_cu_kf.fppized.f90:1392:61: 1392 | 155 DETIC(NK)=DETIC(NK)*UPDINC | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 155 at (1) module_cu_kf.fppized.f90:1502:67: 1502 | 493 QFXBOT(NK)=0. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 493 at (1) module_cu_kf.fppized.f90:1599:57: 1599 | 217 PMIX=PMIX+DP(NK)*P0(NK) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 217 at (1) module_cu_kf.fppized.f90:1635:65: 1635 | 235 IF(PLCL.GE.P0(NK))GOTO 240 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 235 at (1) module_cu_kf.fppized.f90:1674:68: 1674 | 245 IF(BE.GT.0.)ABEG=ABEG+BE*G | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 245 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_kfeta.F90 -o module_cu_kfeta.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_kfeta.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cu_kfeta.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_mesosas.F90 -o module_cu_mesosas.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_gfs_funcphys.F90 -o module_gfs_funcphys.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_gfs_funcphys.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_gfs_funcphys.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_mesosas.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cu_mesosas.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_nsas.F90 -o module_cu_nsas.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_nsas.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cu_nsas.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_osas.F90 -o module_cu_osas.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_osas.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cu_osas.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_sas.F90 -o module_cu_sas.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_sas.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cu_sas.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_tiedtke.F90 -o module_cu_tiedtke.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_tiedtke.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cu_tiedtke.fppized.f90 module_cu_tiedtke.fppized.f90:612:20: 612 | DO 10 j=1,lq | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 10 at (1) module_cu_tiedtke.fppized.f90:649:18: 649 | DO 20 j=1,lq | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 20 at (1) module_cu_tiedtke.fppized.f90:672:20: 672 | DO 75 j=1,lq | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 75 at (1) module_cu_tiedtke.fppized.f90:682:23: 682 | DO 100 j=1,lq | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_cu_tiedtke.fppized.f90:932:22: 932 | DO 420 JL=1,KLON | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 420 at (1) module_cu_tiedtke.fppized.f90:966:25: 966 | DO 450 JL = 1, KLON | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 450 at (1) module_cu_tiedtke.fppized.f90:1020:22: 1020 | DO 490 JL=1,KLON | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 490 at (1) module_cu_tiedtke.fppized.f90:1142:24: 1142 | DO 530 JL=1,KLON | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 530 at (1) module_cu_tiedtke.fppized.f90:1442:22: 1442 | DO 200 JL=1,KLON | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 200 at (1) module_cu_tiedtke.fppized.f90:1992:22: 1992 | DO 230 JL=1,KLON | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 230 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diag_pld.F90 -o module_diag_pld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diag_pld.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_diag_pld.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fdda_psufddagd.F90 -o module_fdda_psufddagd.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fdda_psufddagd.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_fdda_psufddagd.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fdda_spnudging.F90 -o module_fdda_spnudging.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fdda_spnudging.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_fdda_spnudging.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fddaobs_rtfdda.F90 -o module_fddaobs_rtfdda.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fddaobs_rtfdda.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_fddaobs_rtfdda.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_atm.F90 -o module_fr_fire_atm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_util.F90 -o module_fr_fire_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_util.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_fr_fire_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_atm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_fr_fire_atm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_core.F90 -o module_fr_fire_core.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_phys.F90 -o module_fr_fire_phys.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_phys.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_fr_fire_phys.fppized.f90 module_fr_fire_phys.fppized.f90:180:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 180 | call wrf_dm_bcast_real(windrf, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:181:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 181 | call wrf_dm_bcast_real(fgi, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:182:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 182 | call wrf_dm_bcast_real(fueldepthm,nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:183:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 183 | call wrf_dm_bcast_real(savr, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:184:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 184 | call wrf_dm_bcast_real(fuelmce, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:185:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 185 | call wrf_dm_bcast_real(fueldens, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:186:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 186 | call wrf_dm_bcast_real(st, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:187:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 187 | call wrf_dm_bcast_real(se, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:188:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 188 | call wrf_dm_bcast_real(weight, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:189:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 189 | call wrf_dm_bcast_real(fci_d, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:190:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 190 | call wrf_dm_bcast_real(fct, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:191:26: 178 | call wrf_dm_bcast_integer(nfuelcats,1) | 2 ...... 191 | call wrf_dm_bcast_integer(ichap, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_core.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_fr_fire_core.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_driver.F90 -o module_fr_fire_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_model.F90 -o module_fr_fire_model.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_model.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_fr_fire_model.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_fr_fire_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_driver_wrf.F90 -o module_fr_fire_driver_wrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_driver_wrf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_fr_fire_driver_wrf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_io_quilt.F90 -o module_io_quilt.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_quilt_outbuf_ops.F90 -o module_quilt_outbuf_ops.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_quilt_outbuf_ops.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_quilt_outbuf_ops.fppized.f90 module_quilt_outbuf_ops.fppized.f90:165:33: 147 | outbuf_table(ii)%rptr(ds1:de1,ds2:de2,ds3:de3), & | 2 ...... 165 | outbuf_table(ii)%iptr(ds1:de1,ds2:de2,ds3:de3), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_quilt_outbuf_ops.fppized.f90:204:33: 185 | outbuf_table(ii)%rptr(ds1:de1,ds2:de2,ds3:de3), & | 2 ...... 204 | outbuf_table(ii)%iptr(ds1:de1,ds2:de2,ds3:de3), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_io_quilt.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_io_quilt.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_lightning_driver.F90 -o module_lightning_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ltng_cpmpr92z.F90 -o module_ltng_cpmpr92z.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ltng_cpmpr92z.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ltng_cpmpr92z.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ltng_crmpr92.F90 -o module_ltng_crmpr92.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ltng_crmpr92.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ltng_crmpr92.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ltng_iccg.F90 -o module_ltng_iccg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ltng_iccg.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ltng_iccg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ltng_lpi.F90 -o module_ltng_lpi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ltng_lpi.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ltng_lpi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_lightning_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_lightning_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_llxy.F90 -o module_llxy.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_llxy.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_llxy.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_etanew.F90 -o module_mp_etanew.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_etanew.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_etanew.fppized.f90 module_mp_etanew.fppized.f90:374:26: 374 | DO 98 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 98 at (1) module_mp_etanew.fppized.f90:390:26: 390 | DO 100 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_mp_etanew.fppized.f90:536:26: 536 | DO 101 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_mp_etanew.fppized.f90:2249:34: 2223 | CALL wrf_dm_bcast_bytes ( etampnew_unit1 , 4 ) | 2 ...... 2249 | CALL wrf_dm_bcast_bytes ( VENTR1 , size ( VENTR1 ) * 4 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_etaold.F90 -o module_mp_etaold.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_etaold.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_etaold.fppized.f90 module_mp_etaold.fppized.f90:369:26: 369 | DO 98 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 98 at (1) module_mp_etaold.fppized.f90:385:26: 385 | DO 100 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_mp_etaold.fppized.f90:531:26: 531 | DO 101 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_mp_etaold.fppized.f90:2207:34: 2181 | CALL wrf_dm_bcast_bytes ( etampnew_unit1 , 4 ) | 2 ...... 2207 | CALL wrf_dm_bcast_bytes ( VENTR1 , size ( VENTR1 ) * 4 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_fast_sbm.F90 -o module_mp_fast_sbm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_radar.F90 -o module_mp_radar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_radar.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_radar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_fast_sbm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_fast_sbm.fppized.f90 module_mp_fast_sbm.fppized.f90:3765:25: 3765 | DO 1 ICE=1,ICEMAX | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_fast_sbm.fppized.f90:3839:25: 3839 | DO 1 ICE=1,ICEMAX | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_fast_sbm.fppized.f90:3896:25: 3896 | DO 1 ICE=1,ICEMAX | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_fast_sbm.fppized.f90:5793:26: 5793 | DO 1 KR=1,NKR | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_fast_sbm.fppized.f90:7891:29: 7891 | do 12 kr2=1,nkr | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 12 at (1) module_mp_fast_sbm.fppized.f90:2161:33: 2129 | CALL wrf_dm_bcast_bytes ( hujisbm_unit1 , 4 ) | 2 ...... 2161 | CALL wrf_dm_bcast_bytes (PKIJ , size ( PKIJ ) * 8 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_full_sbm.F90 -o module_mp_full_sbm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_full_sbm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_full_sbm.fppized.f90 module_mp_full_sbm.fppized.f90:4235:25: 4235 | DO 1 ICE=1,ICEMAX | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_full_sbm.fppized.f90:4309:25: 4309 | DO 1 ICE=1,ICEMAX | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_full_sbm.fppized.f90:4365:25: 4365 | DO 1 ICE=1,ICEMAX | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_full_sbm.fppized.f90:6275:26: 6275 | DO 1 KR=1,NKR | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_full_sbm.fppized.f90:8379:29: 8379 | do 12 kr2=1,nkr | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 12 at (1) module_mp_full_sbm.fppized.f90:2631:33: 2599 | CALL wrf_dm_bcast_bytes ( hujisbm_unit1 , 4 ) | 2 ...... 2631 | CALL wrf_dm_bcast_bytes (PKIJ , size ( PKIJ ) * 8 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_milbrandt2mom.F90 -o module_mp_milbrandt2mom.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_milbrandt2mom.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_milbrandt2mom.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_morr_two_moment.F90 -o module_mp_morr_two_moment.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_morr_two_moment.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_morr_two_moment.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_nssl_2mom.F90 -o module_mp_nssl_2mom.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_nssl_2mom.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_nssl_2mom.fppized.f90 module_mp_nssl_2mom.fppized.f90:1972:24: 1972 | 10 GA=GA*K | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 10 at (1) module_mp_nssl_2mom.fppized.f90:1982:26: 1982 | 15 R=R*(Z-K) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 15 at (1) module_mp_nssl_2mom.fppized.f90:2001:26: 2001 | 20 GR=GR*Z+G(K) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 20 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_thompson.F90 -o module_mp_thompson.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_thompson.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_thompson.fppized.f90 module_mp_thompson.fppized.f90:4202:30: 4187 | CALL wrf_dm_bcast_bytes ( iunit_mp_th1 , 4 ) | 2 ...... 4202 | CALL wrf_dm_bcast_bytes(tnccn_act, size(tnccn_act)*R4SIZE) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_wdm5.F90 -o module_mp_wdm5.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_wdm5.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_wdm5.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_wdm6.F90 -o module_mp_wdm6.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_wdm6.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_wdm6.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_wsm3.F90 -o module_mp_wsm3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_wsm3.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_wsm3.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_wsm5.F90 -o module_mp_wsm5.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_wsm5.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_wsm5.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_wsm6.F90 -o module_mp_wsm6.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_wsm6.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_wsm6.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_physics_init.F90 -o module_physics_init.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_HWRF.F90 -o module_mp_HWRF.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_HWRF.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_HWRF.fppized.f90 module_mp_HWRF.fppized.f90:423:26: 423 | DO 98 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 98 at (1) module_mp_HWRF.fppized.f90:439:26: 439 | DO 100 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_mp_HWRF.fppized.f90:592:26: 592 | DO 101 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_mp_HWRF.fppized.f90:2306:34: 2279 | CALL wrf_dm_bcast_bytes ( etampnew_unit1 , 4 ) | 2 ...... 2306 | CALL wrf_dm_bcast_bytes ( VENTR1 , size ( VENTR1 ) * 4 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_HWRF.F90 -o module_ra_HWRF.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_HWRF.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ra_HWRF.fppized.f90 module_ra_HWRF.fppized.f90:1621:24: 1621 | DO 100 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_ra_HWRF.fppized.f90:2025:36: 2025 | 24 PHALF(K)=PHALF(K)*0.01*1.0E+03 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 24 at (1) module_ra_HWRF.fppized.f90:2029:24: 2029 | 25 P(K)=P(K)*1013250. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 25 at (1) module_ra_HWRF.fppized.f90:2037:20: 2037 | DO 1010 L=1,10 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1010 at (1) module_ra_HWRF.fppized.f90:2054:23: 2054 | DO 1011 L=1,10 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1011 at (1) module_ra_HWRF.fppized.f90:2061:23: 2061 | DO 1031 L=1,10 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1031 at (1) module_ra_HWRF.fppized.f90:2069:30: 2069 | 31 DUO3N(L+9,KK)=RO32(L,KK) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 31 at (1) module_ra_HWRF.fppized.f90:2089:26: 2089 | 22 RSTD(KK)=DUO3N(L,KK) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 22 at (1) module_ra_HWRF.fppized.f90:2096:21: 2096 | RSTD(KI-1))/16. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 60 at (1) module_ra_HWRF.fppized.f90:2102:23: 2102 | 61 RDATA(K)=RSTD(KQ) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 61 at (1) module_ra_HWRF.fppized.f90:2132:43: 2132 | 89 O3RD=O3RD+RDATA(KK)*(PH(KK+1)-PH(KK)) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 89 at (1) module_ra_HWRF.fppized.f90:2137:50: 2137 | 88 O3TOT=O3TOT+RBAR(KK)*(PHALF(KK+1)-PHALF(KK)) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 88 at (1) module_ra_HWRF.fppized.f90:2145:30: 2145 | 23 DDUO3(L,KK)=RBAR(KK)*.01 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 23 at (1) module_ra_HWRF.fppized.f90:2164:21: 2164 | DO 302 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 302 at (1) module_ra_HWRF.fppized.f90:2169:21: 2169 | DO 312 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 312 at (1) module_ra_HWRF.fppized.f90:2174:21: 2174 | DO 322 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 322 at (1) module_ra_HWRF.fppized.f90:2179:21: 2179 | DO 332 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 332 at (1) module_ra_HWRF.fppized.f90:2253:21: 2253 | DO 25 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 25 at (1) module_ra_HWRF.fppized.f90:2269:24: 2269 | DO 33 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 33 at (1) module_ra_HWRF.fppized.f90:2280:24: 2280 | DO 43 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 43 at (1) module_ra_HWRF.fppized.f90:2285:25: 2285 | DO 31 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 31 at (1) module_ra_HWRF.fppized.f90:2290:25: 2290 | DO 32 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 32 at (1) module_ra_HWRF.fppized.f90:2309:24: 2309 | DO 53 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 53 at (1) module_ra_HWRF.fppized.f90:2320:24: 2320 | DO 63 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 63 at (1) module_ra_HWRF.fppized.f90:2325:25: 2325 | DO 52 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 52 at (1) module_ra_HWRF.fppized.f90:2333:19: 2333 | DO 71 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 71 at (1) module_ra_HWRF.fppized.f90:2334:21: 2334 | DO 71 IP=1,JTOP | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 71 at (1) module_ra_HWRF.fppized.f90:2494:24: 2494 | DO 103 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 103 at (1) module_ra_HWRF.fppized.f90:2505:24: 2505 | DO 107 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 107 at (1) module_ra_HWRF.fppized.f90:2512:24: 2512 | DO 125 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 125 at (1) module_ra_HWRF.fppized.f90:2525:24: 2525 | DO 131 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 131 at (1) module_ra_HWRF.fppized.f90:2552:24: 2552 | DO 203 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 203 at (1) module_ra_HWRF.fppized.f90:2570:24: 2570 | DO 811 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 811 at (1) module_ra_HWRF.fppized.f90:2574:24: 2574 | DO 812 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 812 at (1) module_ra_HWRF.fppized.f90:2590:24: 2590 | DO 162 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 162 at (1) module_ra_HWRF.fppized.f90:2612:24: 2612 | DO 184 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 184 at (1) module_ra_HWRF.fppized.f90:2621:24: 2621 | DO 190 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 190 at (1) module_ra_HWRF.fppized.f90:2632:24: 2632 | DO 211 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 211 at (1) module_ra_HWRF.fppized.f90:2641:24: 2641 | DO 215 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 215 at (1) module_ra_HWRF.fppized.f90:2660:24: 2660 | DO 250 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 250 at (1) module_ra_HWRF.fppized.f90:2670:24: 2670 | DO 222 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 222 at (1) module_ra_HWRF.fppized.f90:2687:24: 2687 | DO 206 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 206 at (1) module_ra_HWRF.fppized.f90:2697:24: 2697 | DO 260 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 260 at (1) module_ra_HWRF.fppized.f90:2703:24: 2703 | DO 264 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 264 at (1) module_ra_HWRF.fppized.f90:2715:24: 2715 | DO 282 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 282 at (1) module_ra_HWRF.fppized.f90:2886:24: 2886 | DO 101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_ra_HWRF.fppized.f90:2895:24: 2895 | DO 103 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 103 at (1) module_ra_HWRF.fppized.f90:2913:21: 2913 | DO 4114 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4114 at (1) module_ra_HWRF.fppized.f90:2918:25: 2918 | DO 4112 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4112 at (1) module_ra_HWRF.fppized.f90:2923:21: 2923 | DO 4214 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4214 at (1) module_ra_HWRF.fppized.f90:2928:25: 2928 | DO 4212 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4212 at (1) module_ra_HWRF.fppized.f90:2933:21: 2933 | DO 4314 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4314 at (1) module_ra_HWRF.fppized.f90:2938:25: 2938 | DO 4312 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4312 at (1) module_ra_HWRF.fppized.f90:2943:21: 2943 | DO 4414 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4414 at (1) module_ra_HWRF.fppized.f90:2948:25: 2948 | DO 4412 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4412 at (1) module_ra_HWRF.fppized.f90:2953:21: 2953 | DO 4514 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4514 at (1) module_ra_HWRF.fppized.f90:2958:25: 2958 | DO 4512 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4512 at (1) module_ra_HWRF.fppized.f90:2963:21: 2963 | DO 4614 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4614 at (1) module_ra_HWRF.fppized.f90:2968:25: 2968 | DO 4612 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4612 at (1) module_ra_HWRF.fppized.f90:2973:21: 2973 | DO 4714 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4714 at (1) module_ra_HWRF.fppized.f90:2978:25: 2978 | DO 4712 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4712 at (1) module_ra_HWRF.fppized.f90:2983:21: 2983 | DO 4814 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4814 at (1) module_ra_HWRF.fppized.f90:2988:25: 2988 | DO 4812 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4812 at (1) module_ra_HWRF.fppized.f90:2993:21: 2993 | DO 4914 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4914 at (1) module_ra_HWRF.fppized.f90:2998:25: 2998 | DO 4912 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4912 at (1) module_ra_HWRF.fppized.f90:3003:21: 3003 | DO 5014 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5014 at (1) module_ra_HWRF.fppized.f90:3008:25: 3008 | DO 5012 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5012 at (1) module_ra_HWRF.fppized.f90:3013:21: 3013 | DO 5114 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5114 at (1) module_ra_HWRF.fppized.f90:3018:25: 3018 | DO 5112 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5112 at (1) module_ra_HWRF.fppized.f90:3023:21: 3023 | DO 5214 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5214 at (1) module_ra_HWRF.fppized.f90:3028:25: 3028 | DO 5212 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5212 at (1) module_ra_HWRF.fppized.f90:3033:21: 3033 | DO 5314 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5314 at (1) module_ra_HWRF.fppized.f90:3038:25: 3038 | DO 5312 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5312 at (1) module_ra_HWRF.fppized.f90:3043:21: 3043 | DO 5414 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5414 at (1) module_ra_HWRF.fppized.f90:3048:25: 3048 | DO 5412 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5412 at (1) module_ra_HWRF.fppized.f90:3061:24: 3061 | DO 131 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 131 at (1) module_ra_HWRF.fppized.f90:3065:24: 3065 | DO 143 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 143 at (1) module_ra_HWRF.fppized.f90:3074:24: 3074 | DO 901 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 901 at (1) module_ra_HWRF.fppized.f90:3078:24: 3078 | DO 903 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 903 at (1) module_ra_HWRF.fppized.f90:3124:25: 3124 | DO 3021 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3021 at (1) module_ra_HWRF.fppized.f90:3145:24: 3145 | DO 302 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 302 at (1) module_ra_HWRF.fppized.f90:3161:25: 3161 | DO 3022 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3022 at (1) module_ra_HWRF.fppized.f90:3165:25: 3165 | DO 3023 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3023 at (1) module_ra_HWRF.fppized.f90:3176:24: 3176 | DO 305 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 305 at (1) module_ra_HWRF.fppized.f90:3189:24: 3189 | DO 303 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 303 at (1) module_ra_HWRF.fppized.f90:3220:24: 3220 | DO 999 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 999 at (1) module_ra_HWRF.fppized.f90:3224:25: 3224 | DO 1001 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1001 at (1) module_ra_HWRF.fppized.f90:3231:25: 3231 | DO 1011 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1011 at (1) module_ra_HWRF.fppized.f90:3253:25: 3253 | DO 3218 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3218 at (1) module_ra_HWRF.fppized.f90:3273:24: 3273 | DO 322 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 322 at (1) module_ra_HWRF.fppized.f90:3281:25: 3281 | DO 3221 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3221 at (1) module_ra_HWRF.fppized.f90:3293:25: 3293 | DO 3223 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3223 at (1) module_ra_HWRF.fppized.f90:3302:25: 3302 | DO 3423 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3423 at (1) module_ra_HWRF.fppized.f90:3309:25: 3309 | DO 3425 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3425 at (1) module_ra_HWRF.fppized.f90:3323:24: 3323 | DO 823 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 823 at (1) module_ra_HWRF.fppized.f90:3353:24: 3353 | DO 851 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 851 at (1) module_ra_HWRF.fppized.f90:3377:24: 3377 | DO 618 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 618 at (1) module_ra_HWRF.fppized.f90:3381:24: 3381 | DO 601 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 601 at (1) module_ra_HWRF.fppized.f90:3386:24: 3386 | DO 603 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 603 at (1) module_ra_HWRF.fppized.f90:3401:24: 3401 | DO 631 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 631 at (1) module_ra_HWRF.fppized.f90:3412:24: 3412 | DO 643 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 643 at (1) module_ra_HWRF.fppized.f90:3420:24: 3420 | DO 651 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 651 at (1) module_ra_HWRF.fppized.f90:3426:24: 3426 | DO 655 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 655 at (1) module_ra_HWRF.fppized.f90:3438:24: 3438 | DO 663 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 663 at (1) module_ra_HWRF.fppized.f90:3446:24: 3446 | DO 871 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 871 at (1) module_ra_HWRF.fppized.f90:3469:25: 3469 | DO 1101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1101 at (1) module_ra_HWRF.fppized.f90:3474:25: 3474 | DO 1103 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1103 at (1) module_ra_HWRF.fppized.f90:3480:25: 3480 | DO 1111 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1111 at (1) module_ra_HWRF.fppized.f90:3490:25: 3490 | DO 1123 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1123 at (1) module_ra_HWRF.fppized.f90:3573:25: 3573 | DO 6101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 6101 at (1) module_ra_HWRF.fppized.f90:3633:25: 3633 | DO 1322 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1322 at (1) module_ra_HWRF.fppized.f90:3671:24: 3671 | DO 209 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 209 at (1) module_ra_HWRF.fppized.f90:3678:24: 3678 | DO 211 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 211 at (1) module_ra_HWRF.fppized.f90:3690:24: 3690 | DO 240 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_ra_HWRF.fppized.f90:3701:24: 3701 | DO 241 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 241 at (1) module_ra_HWRF.fppized.f90:3713:24: 3713 | DO 242 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 242 at (1) module_ra_HWRF.fppized.f90:3783:24: 3783 | DO 101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_ra_HWRF.fppized.f90:3802:24: 3802 | DO 301 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 301 at (1) module_ra_HWRF.fppized.f90:3824:24: 3824 | DO 321 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 321 at (1) module_ra_HWRF.fppized.f90:3832:24: 3832 | DO 353 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 353 at (1) module_ra_HWRF.fppized.f90:3851:24: 3851 | DO 401 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 401 at (1) module_ra_HWRF.fppized.f90:3873:24: 3873 | DO 421 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 421 at (1) module_ra_HWRF.fppized.f90:3881:24: 3881 | DO 453 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 453 at (1) module_ra_HWRF.fppized.f90:3900:24: 3900 | DO 501 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 501 at (1) module_ra_HWRF.fppized.f90:3922:24: 3922 | DO 521 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 521 at (1) module_ra_HWRF.fppized.f90:3930:24: 3930 | DO 553 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 553 at (1) module_ra_HWRF.fppized.f90:3949:24: 3949 | DO 601 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 601 at (1) module_ra_HWRF.fppized.f90:3971:24: 3971 | DO 621 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 621 at (1) module_ra_HWRF.fppized.f90:3979:24: 3979 | DO 653 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 653 at (1) module_ra_HWRF.fppized.f90:3998:24: 3998 | DO 701 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 701 at (1) module_ra_HWRF.fppized.f90:4020:24: 4020 | DO 721 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 721 at (1) module_ra_HWRF.fppized.f90:4029:24: 4029 | DO 753 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 753 at (1) module_ra_HWRF.fppized.f90:4048:24: 4048 | DO 801 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 801 at (1) module_ra_HWRF.fppized.f90:4070:24: 4070 | DO 821 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 821 at (1) module_ra_HWRF.fppized.f90:4079:24: 4079 | DO 853 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 853 at (1) module_ra_HWRF.fppized.f90:4098:24: 4098 | DO 901 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 901 at (1) module_ra_HWRF.fppized.f90:4120:24: 4120 | DO 921 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 921 at (1) module_ra_HWRF.fppized.f90:4129:24: 4129 | DO 953 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 953 at (1) module_ra_HWRF.fppized.f90:4148:25: 4148 | DO 1001 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1001 at (1) module_ra_HWRF.fppized.f90:4170:25: 4170 | DO 1021 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1021 at (1) module_ra_HWRF.fppized.f90:4179:25: 4179 | DO 1053 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1053 at (1) module_ra_HWRF.fppized.f90:4198:25: 4198 | DO 1101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1101 at (1) module_ra_HWRF.fppized.f90:4220:25: 4220 | DO 1121 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1121 at (1) module_ra_HWRF.fppized.f90:4229:25: 4229 | DO 1153 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1153 at (1) module_ra_HWRF.fppized.f90:4248:25: 4248 | DO 1201 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1201 at (1) module_ra_HWRF.fppized.f90:4270:25: 4270 | DO 1221 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1221 at (1) module_ra_HWRF.fppized.f90:4279:25: 4279 | DO 1253 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1253 at (1) module_ra_HWRF.fppized.f90:4298:25: 4298 | DO 1301 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1301 at (1) module_ra_HWRF.fppized.f90:4320:25: 4320 | DO 1321 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1321 at (1) module_ra_HWRF.fppized.f90:4329:25: 4329 | DO 1353 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1353 at (1) module_ra_HWRF.fppized.f90:4348:25: 4348 | DO 1401 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1401 at (1) module_ra_HWRF.fppized.f90:4370:25: 4370 | DO 1421 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1421 at (1) module_ra_HWRF.fppized.f90:4379:25: 4379 | DO 1453 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1453 at (1) module_ra_HWRF.fppized.f90:4398:25: 4398 | DO 1501 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1501 at (1) module_ra_HWRF.fppized.f90:4420:25: 4420 | DO 1521 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1521 at (1) module_ra_HWRF.fppized.f90:4429:25: 4429 | DO 1553 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1553 at (1) module_ra_HWRF.fppized.f90:4448:25: 4448 | DO 1601 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1601 at (1) module_ra_HWRF.fppized.f90:4470:25: 4470 | DO 1621 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1621 at (1) module_ra_HWRF.fppized.f90:4479:25: 4479 | DO 1653 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1653 at (1) module_ra_HWRF.fppized.f90:4498:25: 4498 | DO 1731 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1731 at (1) module_ra_HWRF.fppized.f90:4505:25: 4505 | DO 1741 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1741 at (1) module_ra_HWRF.fppized.f90:4514:25: 4514 | DO 1711 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1711 at (1) module_ra_HWRF.fppized.f90:4519:25: 4519 | DO 1701 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1701 at (1) module_ra_HWRF.fppized.f90:4577:24: 4577 | DO 132 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 132 at (1) module_ra_HWRF.fppized.f90:4601:24: 4601 | DO 142 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 142 at (1) module_ra_HWRF.fppized.f90:4607:24: 4607 | DO 234 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 234 at (1) module_ra_HWRF.fppized.f90:4648:24: 4648 | DO 132 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 132 at (1) module_ra_HWRF.fppized.f90:4696:24: 4696 | DO 203 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 203 at (1) module_ra_HWRF.fppized.f90:4811:24: 4811 | DO 110 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 110 at (1) module_ra_HWRF.fppized.f90:4815:24: 4815 | DO 120 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 120 at (1) module_ra_HWRF.fppized.f90:4820:24: 4820 | DO 130 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 130 at (1) module_ra_HWRF.fppized.f90:4825:25: 4825 | DO 140 IP=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 140 at (1) module_ra_HWRF.fppized.f90:4844:24: 4844 | DO 160 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 160 at (1) module_ra_HWRF.fppized.f90:4866:24: 4866 | DO 210 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 210 at (1) module_ra_HWRF.fppized.f90:4885:25: 4885 | DO 230 IP=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 230 at (1) module_ra_HWRF.fppized.f90:4902:24: 4902 | DO 240 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_ra_HWRF.fppized.f90:4909:24: 4909 | DO 241 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 241 at (1) module_ra_HWRF.fppized.f90:4913:24: 4913 | DO 242 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 242 at (1) module_ra_HWRF.fppized.f90:4923:24: 4923 | DO 250 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 250 at (1) module_ra_HWRF.fppized.f90:4932:24: 4932 | DO 251 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 251 at (1) module_ra_HWRF.fppized.f90:4936:24: 4936 | DO 252 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 252 at (1) module_ra_HWRF.fppized.f90:4945:24: 4945 | DO 260 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 260 at (1) module_ra_HWRF.fppized.f90:4959:25: 4959 | DO 280 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 280 at (1) module_ra_HWRF.fppized.f90:4980:28: 4980 | DO 290 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 290 at (1) module_ra_HWRF.fppized.f90:4989:28: 4989 | DO 300 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 300 at (1) module_ra_HWRF.fppized.f90:5005:26: 5005 | DO 320 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 320 at (1) module_ra_HWRF.fppized.f90:5014:24: 5014 | DO 360 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 360 at (1) module_ra_HWRF.fppized.f90:5018:24: 5018 | DO 370 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 370 at (1) module_ra_HWRF.fppized.f90:5031:26: 5031 | DO 410 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 410 at (1) module_ra_HWRF.fppized.f90:5037:26: 5037 | DO 420 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 420 at (1) module_ra_HWRF.fppized.f90:5044:24: 5044 | DO 430 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 430 at (1) module_ra_HWRF.fppized.f90:5063:24: 5063 | DO 480 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 480 at (1) module_ra_HWRF.fppized.f90:5070:21: 5070 | DO 490 K=1,JTOP | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 490 at (1) module_ra_HWRF.fppized.f90:5090:24: 5090 | DO 520 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 520 at (1) module_ra_HWRF.fppized.f90:5109:25: 5109 | DO 540 IP=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 540 at (1) module_ra_HWRF.fppized.f90:5119:24: 5119 | DO 550 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 550 at (1) module_ra_HWRF.fppized.f90:5125:24: 5125 | DO 551 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 551 at (1) module_ra_HWRF.fppized.f90:5129:24: 5129 | DO 552 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 552 at (1) module_ra_HWRF.fppized.f90:5134:24: 5134 | DO 560 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 560 at (1) module_ra_HWRF.fppized.f90:5142:24: 5142 | DO 561 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 561 at (1) module_ra_HWRF.fppized.f90:5146:24: 5146 | DO 562 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 562 at (1) module_ra_HWRF.fppized.f90:5184:24: 5184 | DO 600 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 600 at (1) module_ra_HWRF.fppized.f90:6242:24: 6242 | DO 50 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 50 at (1) module_ra_HWRF.fppized.f90:6255:24: 6255 | DO 60 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 60 at (1) module_ra_HWRF.fppized.f90:6333:26: 6333 | DO 200 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 200 at (1) module_ra_HWRF.fppized.f90:6338:24: 6338 | DO 220 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 220 at (1) module_ra_HWRF.fppized.f90:6357:24: 6357 | DO 240 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_ra_HWRF.fppized.f90:6419:26: 6419 | DO 290 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 290 at (1) module_ra_HWRF.fppized.f90:6461:26: 6461 | DO 330 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 330 at (1) module_ra_HWRF.fppized.f90:7546:19: 7546 | DO 205 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 205 at (1) module_ra_HWRF.fppized.f90:7550:19: 7550 | DO 207 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 207 at (1) module_ra_HWRF.fppized.f90:7593:19: 7593 | DO 221 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 221 at (1) module_ra_HWRF.fppized.f90:7597:19: 7597 | DO 223 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 223 at (1) module_ra_HWRF.fppized.f90:7601:19: 7601 | DO 225 I=1,27 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 225 at (1) module_ra_HWRF.fppized.f90:7680:19: 7680 | DO 401 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 401 at (1) module_ra_HWRF.fppized.f90:7704:22: 7704 | DO 419 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 419 at (1) module_ra_HWRF.fppized.f90:7709:22: 7709 | DO 421 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 421 at (1) module_ra_HWRF.fppized.f90:7716:22: 7716 | DO 420 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 420 at (1) module_ra_HWRF.fppized.f90:7722:19: 7722 | DO 431 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 431 at (1) module_ra_HWRF.fppized.f90:7727:19: 7727 | DO 433 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 433 at (1) module_ra_HWRF.fppized.f90:7731:19: 7731 | DO 441 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 441 at (1) module_ra_HWRF.fppized.f90:7735:19: 7735 | DO 443 I=1,27 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 443 at (1) module_ra_HWRF.fppized.f90:7745:19: 7745 | DO 449 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 449 at (1) module_ra_HWRF.fppized.f90:7749:19: 7749 | DO 451 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 451 at (1) module_ra_HWRF.fppized.f90:7753:19: 7753 | DO 453 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 453 at (1) module_ra_HWRF.fppized.f90:7759:19: 7759 | DO 501 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 501 at (1) module_ra_HWRF.fppized.f90:7763:19: 7763 | DO 503 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 503 at (1) module_ra_HWRF.fppized.f90:8021:33: 8021 | IF(ABS(EXPO)-50.0) 23,23,24 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:8028:28: 8028 | 25 IF(EXPP-50.0) 27,27,28 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:8221:22: 8221 | DO 300 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 300 at (1) module_ra_HWRF.fppized.f90:8230:22: 8230 | DO 301 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 301 at (1) module_ra_HWRF.fppized.f90:8282:21: 8282 | DO 1021 J=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1021 at (1) module_ra_HWRF.fppized.f90:8297:18: 8297 | DO 1 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_ra_HWRF.fppized.f90:8320:21: 8320 | DO 400 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 400 at (1) module_ra_HWRF.fppized.f90:8762:21: 8762 | DO 805 J=1,NLP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 805 at (1) module_ra_HWRF.fppized.f90:8775:20: 8775 | DO 47 J=I,NLP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 47 at (1) module_ra_HWRF.fppized.f90:8796:22: 8796 | DO 304 I=1,NLP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 304 at (1) module_ra_HWRF.fppized.f90:8826:22: 8826 | DO 300 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 300 at (1) module_ra_HWRF.fppized.f90:8835:22: 8835 | DO 301 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 301 at (1) module_ra_HWRF.fppized.f90:8878:21: 8878 | DO 1021 J=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1021 at (1) module_ra_HWRF.fppized.f90:8893:18: 8893 | DO 1 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_ra_HWRF.fppized.f90:9006:60: 9006 | 505 PRESS(N)=PRSINT(N)+0.25*(NQ-1)*(PRSINT(N-1)-PRSINT(N)) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 505 at (1) module_ra_HWRF.fppized.f90:9292:28: 9292 | IF (P2-PA(L)) 65,65,70 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:9299:28: 9299 | IF (P1-PA(L)) 75,75,80 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:9315:30: 9315 | IF (PETA-PA(L)) 85,85,90 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:9326:26: 9326 | IF (I-J) 126,126,127 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:9360:28: 9360 | IF (I-J-2) 350,350,355 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:8747:37: 8747 | CALL wrf_dm_bcast_bytes ( TRANSA , size ( TRANSA ) * 4 ) | 1 ...... 9525 | CALL wrf_dm_bcast_bytes ( etarad_unit61 , 4 ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_cam.F90 -o module_ra_cam.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_cam_support.F90 -o module_ra_cam_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_cam_support.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ra_cam_support.fppized.f90 module_ra_cam_support.fppized.f90:3840:35: 3817 | CALL wrf_dm_bcast_bytes ( cam_abs_unit , 4 ) | 2 ...... 3840 | CALL wrf_dm_bcast_bytes ( ah2onw , size ( ah2onw ) * r8 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_clWRF_support.F90 -o module_ra_clWRF_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_clWRF_support.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ra_clWRF_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_cam.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ra_cam.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_gfdleta.F90 -o module_ra_gfdleta.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_gfdleta.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ra_gfdleta.fppized.f90 module_ra_gfdleta.fppized.f90:1623:24: 1623 | DO 100 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_ra_gfdleta.fppized.f90:2023:36: 2023 | 24 PHALF(K)=PHALF(K)*0.01*1.0E+03 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 24 at (1) module_ra_gfdleta.fppized.f90:2027:24: 2027 | 25 P(K)=P(K)*1013250. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 25 at (1) module_ra_gfdleta.fppized.f90:2035:20: 2035 | DO 1010 L=1,10 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1010 at (1) module_ra_gfdleta.fppized.f90:2052:23: 2052 | DO 1011 L=1,10 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1011 at (1) module_ra_gfdleta.fppized.f90:2059:23: 2059 | DO 1031 L=1,10 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1031 at (1) module_ra_gfdleta.fppized.f90:2067:30: 2067 | 31 DUO3N(L+9,KK)=RO32(L,KK) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 31 at (1) module_ra_gfdleta.fppized.f90:2087:26: 2087 | 22 RSTD(KK)=DUO3N(L,KK) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 22 at (1) module_ra_gfdleta.fppized.f90:2094:21: 2094 | RSTD(KI-1))/16. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 60 at (1) module_ra_gfdleta.fppized.f90:2100:23: 2100 | 61 RDATA(K)=RSTD(KQ) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 61 at (1) module_ra_gfdleta.fppized.f90:2130:43: 2130 | 89 O3RD=O3RD+RDATA(KK)*(PH(KK+1)-PH(KK)) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 89 at (1) module_ra_gfdleta.fppized.f90:2135:50: 2135 | 88 O3TOT=O3TOT+RBAR(KK)*(PHALF(KK+1)-PHALF(KK)) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 88 at (1) module_ra_gfdleta.fppized.f90:2143:30: 2143 | 23 DDUO3(L,KK)=RBAR(KK)*.01 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 23 at (1) module_ra_gfdleta.fppized.f90:2162:21: 2162 | DO 302 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 302 at (1) module_ra_gfdleta.fppized.f90:2167:21: 2167 | DO 312 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 312 at (1) module_ra_gfdleta.fppized.f90:2172:21: 2172 | DO 322 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 322 at (1) module_ra_gfdleta.fppized.f90:2177:21: 2177 | DO 332 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 332 at (1) module_ra_gfdleta.fppized.f90:2251:21: 2251 | DO 25 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 25 at (1) module_ra_gfdleta.fppized.f90:2267:24: 2267 | DO 33 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 33 at (1) module_ra_gfdleta.fppized.f90:2278:24: 2278 | DO 43 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 43 at (1) module_ra_gfdleta.fppized.f90:2283:25: 2283 | DO 31 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 31 at (1) module_ra_gfdleta.fppized.f90:2288:25: 2288 | DO 32 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 32 at (1) module_ra_gfdleta.fppized.f90:2307:24: 2307 | DO 53 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 53 at (1) module_ra_gfdleta.fppized.f90:2318:24: 2318 | DO 63 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 63 at (1) module_ra_gfdleta.fppized.f90:2323:25: 2323 | DO 52 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 52 at (1) module_ra_gfdleta.fppized.f90:2331:19: 2331 | DO 71 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 71 at (1) module_ra_gfdleta.fppized.f90:2332:21: 2332 | DO 71 IP=1,JTOP | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 71 at (1) module_ra_gfdleta.fppized.f90:2492:24: 2492 | DO 103 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 103 at (1) module_ra_gfdleta.fppized.f90:2503:24: 2503 | DO 107 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 107 at (1) module_ra_gfdleta.fppized.f90:2510:24: 2510 | DO 125 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 125 at (1) module_ra_gfdleta.fppized.f90:2523:24: 2523 | DO 131 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 131 at (1) module_ra_gfdleta.fppized.f90:2550:24: 2550 | DO 203 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 203 at (1) module_ra_gfdleta.fppized.f90:2568:24: 2568 | DO 811 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 811 at (1) module_ra_gfdleta.fppized.f90:2572:24: 2572 | DO 812 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 812 at (1) module_ra_gfdleta.fppized.f90:2588:24: 2588 | DO 162 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 162 at (1) module_ra_gfdleta.fppized.f90:2610:24: 2610 | DO 184 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 184 at (1) module_ra_gfdleta.fppized.f90:2619:24: 2619 | DO 190 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 190 at (1) module_ra_gfdleta.fppized.f90:2630:24: 2630 | DO 211 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 211 at (1) module_ra_gfdleta.fppized.f90:2639:24: 2639 | DO 215 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 215 at (1) module_ra_gfdleta.fppized.f90:2658:24: 2658 | DO 250 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 250 at (1) module_ra_gfdleta.fppized.f90:2668:24: 2668 | DO 222 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 222 at (1) module_ra_gfdleta.fppized.f90:2685:24: 2685 | DO 206 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 206 at (1) module_ra_gfdleta.fppized.f90:2695:24: 2695 | DO 260 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 260 at (1) module_ra_gfdleta.fppized.f90:2701:24: 2701 | DO 264 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 264 at (1) module_ra_gfdleta.fppized.f90:2713:24: 2713 | DO 282 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 282 at (1) module_ra_gfdleta.fppized.f90:2884:24: 2884 | DO 101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_ra_gfdleta.fppized.f90:2893:24: 2893 | DO 103 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 103 at (1) module_ra_gfdleta.fppized.f90:2911:21: 2911 | DO 4114 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4114 at (1) module_ra_gfdleta.fppized.f90:2916:25: 2916 | DO 4112 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4112 at (1) module_ra_gfdleta.fppized.f90:2921:21: 2921 | DO 4214 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4214 at (1) module_ra_gfdleta.fppized.f90:2926:25: 2926 | DO 4212 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4212 at (1) module_ra_gfdleta.fppized.f90:2931:21: 2931 | DO 4314 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4314 at (1) module_ra_gfdleta.fppized.f90:2936:25: 2936 | DO 4312 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4312 at (1) module_ra_gfdleta.fppized.f90:2941:21: 2941 | DO 4414 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4414 at (1) module_ra_gfdleta.fppized.f90:2946:25: 2946 | DO 4412 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4412 at (1) module_ra_gfdleta.fppized.f90:2951:21: 2951 | DO 4514 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4514 at (1) module_ra_gfdleta.fppized.f90:2956:25: 2956 | DO 4512 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4512 at (1) module_ra_gfdleta.fppized.f90:2961:21: 2961 | DO 4614 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4614 at (1) module_ra_gfdleta.fppized.f90:2966:25: 2966 | DO 4612 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4612 at (1) module_ra_gfdleta.fppized.f90:2971:21: 2971 | DO 4714 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4714 at (1) module_ra_gfdleta.fppized.f90:2976:25: 2976 | DO 4712 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4712 at (1) module_ra_gfdleta.fppized.f90:2981:21: 2981 | DO 4814 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4814 at (1) module_ra_gfdleta.fppized.f90:2986:25: 2986 | DO 4812 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4812 at (1) module_ra_gfdleta.fppized.f90:2991:21: 2991 | DO 4914 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4914 at (1) module_ra_gfdleta.fppized.f90:2996:25: 2996 | DO 4912 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4912 at (1) module_ra_gfdleta.fppized.f90:3001:21: 3001 | DO 5014 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5014 at (1) module_ra_gfdleta.fppized.f90:3006:25: 3006 | DO 5012 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5012 at (1) module_ra_gfdleta.fppized.f90:3011:21: 3011 | DO 5114 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5114 at (1) module_ra_gfdleta.fppized.f90:3016:25: 3016 | DO 5112 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5112 at (1) module_ra_gfdleta.fppized.f90:3021:21: 3021 | DO 5214 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5214 at (1) module_ra_gfdleta.fppized.f90:3026:25: 3026 | DO 5212 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5212 at (1) module_ra_gfdleta.fppized.f90:3031:21: 3031 | DO 5314 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5314 at (1) module_ra_gfdleta.fppized.f90:3036:25: 3036 | DO 5312 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5312 at (1) module_ra_gfdleta.fppized.f90:3041:21: 3041 | DO 5414 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5414 at (1) module_ra_gfdleta.fppized.f90:3046:25: 3046 | DO 5412 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5412 at (1) module_ra_gfdleta.fppized.f90:3059:24: 3059 | DO 131 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 131 at (1) module_ra_gfdleta.fppized.f90:3063:24: 3063 | DO 143 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 143 at (1) module_ra_gfdleta.fppized.f90:3072:24: 3072 | DO 901 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 901 at (1) module_ra_gfdleta.fppized.f90:3076:24: 3076 | DO 903 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 903 at (1) module_ra_gfdleta.fppized.f90:3122:25: 3122 | DO 3021 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3021 at (1) module_ra_gfdleta.fppized.f90:3143:24: 3143 | DO 302 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 302 at (1) module_ra_gfdleta.fppized.f90:3159:25: 3159 | DO 3022 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3022 at (1) module_ra_gfdleta.fppized.f90:3163:25: 3163 | DO 3023 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3023 at (1) module_ra_gfdleta.fppized.f90:3174:24: 3174 | DO 305 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 305 at (1) module_ra_gfdleta.fppized.f90:3187:24: 3187 | DO 303 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 303 at (1) module_ra_gfdleta.fppized.f90:3218:24: 3218 | DO 999 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 999 at (1) module_ra_gfdleta.fppized.f90:3222:25: 3222 | DO 1001 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1001 at (1) module_ra_gfdleta.fppized.f90:3229:25: 3229 | DO 1011 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1011 at (1) module_ra_gfdleta.fppized.f90:3251:25: 3251 | DO 3218 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3218 at (1) module_ra_gfdleta.fppized.f90:3271:24: 3271 | DO 322 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 322 at (1) module_ra_gfdleta.fppized.f90:3279:25: 3279 | DO 3221 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3221 at (1) module_ra_gfdleta.fppized.f90:3291:25: 3291 | DO 3223 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3223 at (1) module_ra_gfdleta.fppized.f90:3300:25: 3300 | DO 3423 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3423 at (1) module_ra_gfdleta.fppized.f90:3307:25: 3307 | DO 3425 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3425 at (1) module_ra_gfdleta.fppized.f90:3321:24: 3321 | DO 823 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 823 at (1) module_ra_gfdleta.fppized.f90:3351:24: 3351 | DO 851 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 851 at (1) module_ra_gfdleta.fppized.f90:3375:24: 3375 | DO 618 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 618 at (1) module_ra_gfdleta.fppized.f90:3379:24: 3379 | DO 601 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 601 at (1) module_ra_gfdleta.fppized.f90:3384:24: 3384 | DO 603 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 603 at (1) module_ra_gfdleta.fppized.f90:3399:24: 3399 | DO 631 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 631 at (1) module_ra_gfdleta.fppized.f90:3410:24: 3410 | DO 643 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 643 at (1) module_ra_gfdleta.fppized.f90:3418:24: 3418 | DO 651 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 651 at (1) module_ra_gfdleta.fppized.f90:3424:24: 3424 | DO 655 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 655 at (1) module_ra_gfdleta.fppized.f90:3436:24: 3436 | DO 663 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 663 at (1) module_ra_gfdleta.fppized.f90:3444:24: 3444 | DO 871 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 871 at (1) module_ra_gfdleta.fppized.f90:3467:25: 3467 | DO 1101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1101 at (1) module_ra_gfdleta.fppized.f90:3472:25: 3472 | DO 1103 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1103 at (1) module_ra_gfdleta.fppized.f90:3478:25: 3478 | DO 1111 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1111 at (1) module_ra_gfdleta.fppized.f90:3488:25: 3488 | DO 1123 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1123 at (1) module_ra_gfdleta.fppized.f90:3571:25: 3571 | DO 6101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 6101 at (1) module_ra_gfdleta.fppized.f90:3631:25: 3631 | DO 1322 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1322 at (1) module_ra_gfdleta.fppized.f90:3669:24: 3669 | DO 209 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 209 at (1) module_ra_gfdleta.fppized.f90:3676:24: 3676 | DO 211 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 211 at (1) module_ra_gfdleta.fppized.f90:3688:24: 3688 | DO 240 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_ra_gfdleta.fppized.f90:3699:24: 3699 | DO 241 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 241 at (1) module_ra_gfdleta.fppized.f90:3711:24: 3711 | DO 242 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 242 at (1) module_ra_gfdleta.fppized.f90:3781:24: 3781 | DO 101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_ra_gfdleta.fppized.f90:3800:24: 3800 | DO 301 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 301 at (1) module_ra_gfdleta.fppized.f90:3822:24: 3822 | DO 321 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 321 at (1) module_ra_gfdleta.fppized.f90:3830:24: 3830 | DO 353 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 353 at (1) module_ra_gfdleta.fppized.f90:3849:24: 3849 | DO 401 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 401 at (1) module_ra_gfdleta.fppized.f90:3871:24: 3871 | DO 421 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 421 at (1) module_ra_gfdleta.fppized.f90:3879:24: 3879 | DO 453 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 453 at (1) module_ra_gfdleta.fppized.f90:3898:24: 3898 | DO 501 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 501 at (1) module_ra_gfdleta.fppized.f90:3920:24: 3920 | DO 521 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 521 at (1) module_ra_gfdleta.fppized.f90:3928:24: 3928 | DO 553 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 553 at (1) module_ra_gfdleta.fppized.f90:3947:24: 3947 | DO 601 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 601 at (1) module_ra_gfdleta.fppized.f90:3969:24: 3969 | DO 621 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 621 at (1) module_ra_gfdleta.fppized.f90:3977:24: 3977 | DO 653 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 653 at (1) module_ra_gfdleta.fppized.f90:3996:24: 3996 | DO 701 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 701 at (1) module_ra_gfdleta.fppized.f90:4018:24: 4018 | DO 721 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 721 at (1) module_ra_gfdleta.fppized.f90:4027:24: 4027 | DO 753 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 753 at (1) module_ra_gfdleta.fppized.f90:4046:24: 4046 | DO 801 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 801 at (1) module_ra_gfdleta.fppized.f90:4068:24: 4068 | DO 821 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 821 at (1) module_ra_gfdleta.fppized.f90:4077:24: 4077 | DO 853 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 853 at (1) module_ra_gfdleta.fppized.f90:4096:24: 4096 | DO 901 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 901 at (1) module_ra_gfdleta.fppized.f90:4118:24: 4118 | DO 921 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 921 at (1) module_ra_gfdleta.fppized.f90:4127:24: 4127 | DO 953 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 953 at (1) module_ra_gfdleta.fppized.f90:4146:25: 4146 | DO 1001 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1001 at (1) module_ra_gfdleta.fppized.f90:4168:25: 4168 | DO 1021 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1021 at (1) module_ra_gfdleta.fppized.f90:4177:25: 4177 | DO 1053 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1053 at (1) module_ra_gfdleta.fppized.f90:4196:25: 4196 | DO 1101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1101 at (1) module_ra_gfdleta.fppized.f90:4218:25: 4218 | DO 1121 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1121 at (1) module_ra_gfdleta.fppized.f90:4227:25: 4227 | DO 1153 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1153 at (1) module_ra_gfdleta.fppized.f90:4246:25: 4246 | DO 1201 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1201 at (1) module_ra_gfdleta.fppized.f90:4268:25: 4268 | DO 1221 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1221 at (1) module_ra_gfdleta.fppized.f90:4277:25: 4277 | DO 1253 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1253 at (1) module_ra_gfdleta.fppized.f90:4296:25: 4296 | DO 1301 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1301 at (1) module_ra_gfdleta.fppized.f90:4318:25: 4318 | DO 1321 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1321 at (1) module_ra_gfdleta.fppized.f90:4327:25: 4327 | DO 1353 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1353 at (1) module_ra_gfdleta.fppized.f90:4346:25: 4346 | DO 1401 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1401 at (1) module_ra_gfdleta.fppized.f90:4368:25: 4368 | DO 1421 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1421 at (1) module_ra_gfdleta.fppized.f90:4377:25: 4377 | DO 1453 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1453 at (1) module_ra_gfdleta.fppized.f90:4396:25: 4396 | DO 1501 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1501 at (1) module_ra_gfdleta.fppized.f90:4418:25: 4418 | DO 1521 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1521 at (1) module_ra_gfdleta.fppized.f90:4427:25: 4427 | DO 1553 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1553 at (1) module_ra_gfdleta.fppized.f90:4446:25: 4446 | DO 1601 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1601 at (1) module_ra_gfdleta.fppized.f90:4468:25: 4468 | DO 1621 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1621 at (1) module_ra_gfdleta.fppized.f90:4477:25: 4477 | DO 1653 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1653 at (1) module_ra_gfdleta.fppized.f90:4496:25: 4496 | DO 1731 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1731 at (1) module_ra_gfdleta.fppized.f90:4503:25: 4503 | DO 1741 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1741 at (1) module_ra_gfdleta.fppized.f90:4512:25: 4512 | DO 1711 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1711 at (1) module_ra_gfdleta.fppized.f90:4517:25: 4517 | DO 1701 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1701 at (1) module_ra_gfdleta.fppized.f90:4575:24: 4575 | DO 132 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 132 at (1) module_ra_gfdleta.fppized.f90:4599:24: 4599 | DO 142 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 142 at (1) module_ra_gfdleta.fppized.f90:4605:24: 4605 | DO 234 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 234 at (1) module_ra_gfdleta.fppized.f90:4646:24: 4646 | DO 132 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 132 at (1) module_ra_gfdleta.fppized.f90:4694:24: 4694 | DO 203 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 203 at (1) module_ra_gfdleta.fppized.f90:4809:24: 4809 | DO 110 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 110 at (1) module_ra_gfdleta.fppized.f90:4813:24: 4813 | DO 120 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 120 at (1) module_ra_gfdleta.fppized.f90:4818:24: 4818 | DO 130 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 130 at (1) module_ra_gfdleta.fppized.f90:4823:25: 4823 | DO 140 IP=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 140 at (1) module_ra_gfdleta.fppized.f90:4842:24: 4842 | DO 160 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 160 at (1) module_ra_gfdleta.fppized.f90:4864:24: 4864 | DO 210 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 210 at (1) module_ra_gfdleta.fppized.f90:4883:25: 4883 | DO 230 IP=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 230 at (1) module_ra_gfdleta.fppized.f90:4900:24: 4900 | DO 240 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_ra_gfdleta.fppized.f90:4907:24: 4907 | DO 241 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 241 at (1) module_ra_gfdleta.fppized.f90:4911:24: 4911 | DO 242 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 242 at (1) module_ra_gfdleta.fppized.f90:4921:24: 4921 | DO 250 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 250 at (1) module_ra_gfdleta.fppized.f90:4930:24: 4930 | DO 251 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 251 at (1) module_ra_gfdleta.fppized.f90:4934:24: 4934 | DO 252 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 252 at (1) module_ra_gfdleta.fppized.f90:4943:24: 4943 | DO 260 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 260 at (1) module_ra_gfdleta.fppized.f90:4957:25: 4957 | DO 280 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 280 at (1) module_ra_gfdleta.fppized.f90:4978:28: 4978 | DO 290 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 290 at (1) module_ra_gfdleta.fppized.f90:4987:28: 4987 | DO 300 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 300 at (1) module_ra_gfdleta.fppized.f90:5003:26: 5003 | DO 320 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 320 at (1) module_ra_gfdleta.fppized.f90:5012:24: 5012 | DO 360 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 360 at (1) module_ra_gfdleta.fppized.f90:5016:24: 5016 | DO 370 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 370 at (1) module_ra_gfdleta.fppized.f90:5029:26: 5029 | DO 410 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 410 at (1) module_ra_gfdleta.fppized.f90:5035:26: 5035 | DO 420 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 420 at (1) module_ra_gfdleta.fppized.f90:5042:24: 5042 | DO 430 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 430 at (1) module_ra_gfdleta.fppized.f90:5061:24: 5061 | DO 480 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 480 at (1) module_ra_gfdleta.fppized.f90:5068:21: 5068 | DO 490 K=1,JTOP | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 490 at (1) module_ra_gfdleta.fppized.f90:5088:24: 5088 | DO 520 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 520 at (1) module_ra_gfdleta.fppized.f90:5107:25: 5107 | DO 540 IP=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 540 at (1) module_ra_gfdleta.fppized.f90:5117:24: 5117 | DO 550 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 550 at (1) module_ra_gfdleta.fppized.f90:5123:24: 5123 | DO 551 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 551 at (1) module_ra_gfdleta.fppized.f90:5127:24: 5127 | DO 552 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 552 at (1) module_ra_gfdleta.fppized.f90:5132:24: 5132 | DO 560 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 560 at (1) module_ra_gfdleta.fppized.f90:5140:24: 5140 | DO 561 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 561 at (1) module_ra_gfdleta.fppized.f90:5144:24: 5144 | DO 562 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 562 at (1) module_ra_gfdleta.fppized.f90:5182:24: 5182 | DO 600 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 600 at (1) module_ra_gfdleta.fppized.f90:6216:24: 6216 | DO 50 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 50 at (1) module_ra_gfdleta.fppized.f90:6229:24: 6229 | DO 60 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 60 at (1) module_ra_gfdleta.fppized.f90:6314:26: 6314 | DO 200 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 200 at (1) module_ra_gfdleta.fppized.f90:6319:24: 6319 | DO 220 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 220 at (1) module_ra_gfdleta.fppized.f90:6338:24: 6338 | DO 240 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_ra_gfdleta.fppized.f90:6424:26: 6424 | DO 290 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 290 at (1) module_ra_gfdleta.fppized.f90:6469:26: 6469 | DO 330 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 330 at (1) module_ra_gfdleta.fppized.f90:7569:19: 7569 | DO 205 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 205 at (1) module_ra_gfdleta.fppized.f90:7573:19: 7573 | DO 207 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 207 at (1) module_ra_gfdleta.fppized.f90:7616:19: 7616 | DO 221 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 221 at (1) module_ra_gfdleta.fppized.f90:7620:19: 7620 | DO 223 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 223 at (1) module_ra_gfdleta.fppized.f90:7624:19: 7624 | DO 225 I=1,27 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 225 at (1) module_ra_gfdleta.fppized.f90:7703:19: 7703 | DO 401 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 401 at (1) module_ra_gfdleta.fppized.f90:7727:22: 7727 | DO 419 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 419 at (1) module_ra_gfdleta.fppized.f90:7732:22: 7732 | DO 421 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 421 at (1) module_ra_gfdleta.fppized.f90:7739:22: 7739 | DO 420 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 420 at (1) module_ra_gfdleta.fppized.f90:7745:19: 7745 | DO 431 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 431 at (1) module_ra_gfdleta.fppized.f90:7750:19: 7750 | DO 433 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 433 at (1) module_ra_gfdleta.fppized.f90:7754:19: 7754 | DO 441 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 441 at (1) module_ra_gfdleta.fppized.f90:7758:19: 7758 | DO 443 I=1,27 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 443 at (1) module_ra_gfdleta.fppized.f90:7768:19: 7768 | DO 449 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 449 at (1) module_ra_gfdleta.fppized.f90:7772:19: 7772 | DO 451 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 451 at (1) module_ra_gfdleta.fppized.f90:7776:19: 7776 | DO 453 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 453 at (1) module_ra_gfdleta.fppized.f90:7782:19: 7782 | DO 501 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 501 at (1) module_ra_gfdleta.fppized.f90:7786:19: 7786 | DO 503 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 503 at (1) module_ra_gfdleta.fppized.f90:8041:33: 8041 | IF(ABS(EXPO)-50.0) 23,23,24 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:8048:28: 8048 | 25 IF(EXPP-50.0) 27,27,28 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:8241:22: 8241 | DO 300 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 300 at (1) module_ra_gfdleta.fppized.f90:8250:22: 8250 | DO 301 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 301 at (1) module_ra_gfdleta.fppized.f90:8302:21: 8302 | DO 1021 J=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1021 at (1) module_ra_gfdleta.fppized.f90:8317:18: 8317 | DO 1 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_ra_gfdleta.fppized.f90:8340:21: 8340 | DO 400 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 400 at (1) module_ra_gfdleta.fppized.f90:8782:21: 8782 | DO 805 J=1,NLP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 805 at (1) module_ra_gfdleta.fppized.f90:8795:20: 8795 | DO 47 J=I,NLP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 47 at (1) module_ra_gfdleta.fppized.f90:8816:22: 8816 | DO 304 I=1,NLP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 304 at (1) module_ra_gfdleta.fppized.f90:8846:22: 8846 | DO 300 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 300 at (1) module_ra_gfdleta.fppized.f90:8855:22: 8855 | DO 301 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 301 at (1) module_ra_gfdleta.fppized.f90:8898:21: 8898 | DO 1021 J=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1021 at (1) module_ra_gfdleta.fppized.f90:8913:18: 8913 | DO 1 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_ra_gfdleta.fppized.f90:9026:60: 9026 | 505 PRESS(N)=PRSINT(N)+0.25*(NQ-1)*(PRSINT(N-1)-PRSINT(N)) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 505 at (1) module_ra_gfdleta.fppized.f90:9312:28: 9312 | IF (P2-PA(L)) 65,65,70 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:9319:28: 9319 | IF (P1-PA(L)) 75,75,80 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:9335:30: 9335 | IF (PETA-PA(L)) 85,85,90 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:9346:26: 9346 | IF (I-J) 126,126,127 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:9380:28: 9380 | IF (I-J-2) 350,350,355 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:8767:37: 8767 | CALL wrf_dm_bcast_bytes ( TRANSA , size ( TRANSA ) * 4 ) | 1 ...... 9546 | CALL wrf_dm_bcast_bytes ( etarad_unit61 , 4 ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_gsfcsw.F90 -o module_ra_gsfcsw.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_gsfcsw.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ra_gsfcsw.fppized.f90 module_ra_gsfcsw.fppized.f90:2622:20: 2622 | do 10 im=itm,2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 10 at (1) module_ra_gsfcsw.fppized.f90:2675:20: 2675 | do 20 im=itm,2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 20 at (1) module_ra_gsfcsw.fppized.f90:2717:21: 2717 | do 100 im=itm,2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_ra_gsfcsw.fppized.f90:2741:21: 2741 | do 100 is=itm,2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_hs.F90 -o module_ra_hs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_hs.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ra_hs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_rrtm.F90 -o module_ra_rrtm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_rrtm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ra_rrtm.fppized.f90 module_ra_rrtm.fppized.f90:2473:83: 2473 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:2487:83: 2487 | DO 3000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3000 at (1) module_ra_rrtm.fppized.f90:2565:83: 2565 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:2579:83: 2579 | DO 3000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3000 at (1) module_ra_rrtm.fppized.f90:2650:83: 2650 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:2664:83: 2664 | DO 3000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3000 at (1) module_ra_rrtm.fppized.f90:2812:83: 2812 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:2993:83: 2993 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:3222:83: 3222 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:3280:83: 3280 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:3412:83: 3412 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:3470:83: 3470 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:3915:81: 3915 | 1100 WKL(ISP,ILAY) = 0.0 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 1100 at (1) module_ra_rrtm.fppized.f90:3917:81: 3917 | 1200 WX(ISP,ILAY) = 0.0 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 1200 at (1) module_ra_rrtm.fppized.f90:6853:35: 6791 | CALL wrf_dm_bcast_bytes ( rrtm_unit , 4 ) | 2 ...... 6853 | CALL wrf_dm_bcast_bytes ( abscoefL1 , size ( abscoefL1 ) * 4 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_sw.F90 -o module_ra_sw.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_sw.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ra_sw.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_bep.F90 -o module_sf_bep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_urban.F90 -o module_sf_urban.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_urban.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_urban.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_bep.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_bep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_bep_bem.F90 -o module_sf_bep_bem.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_bem.F90 -o module_sf_bem.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_bem.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_bem.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_bep_bem.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_bep_bem.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_clm.F90 -o module_sf_clm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_clm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_clm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_gfdl.F90 -o module_sf_gfdl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_gfdl.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_gfdl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_lake.F90 -o module_sf_lake.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_lake.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_lake.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_myjsfc.F90 -o module_sf_myjsfc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_myjsfc.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_myjsfc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_mynn.F90 -o module_sf_mynn.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_sfclay.F90 -o module_sf_sfclay.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_sfclay.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_sfclay.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_mynn.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_mynn.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahdrv.F90 -o module_sf_noahdrv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_data_gocart_dust.F90 -o module_data_gocart_dust.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_data_gocart_dust.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_data_gocart_dust.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahlsm.F90 -o module_sf_noahlsm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahlsm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_noahlsm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahlsm_glacial_only.F90 -o module_sf_noahlsm_glacial_only.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahlsm_glacial_only.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_noahlsm_glacial_only.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahdrv.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_noahdrv.fppized.f90 module_sf_noahdrv.fppized.f90:1693:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 1693 | CALL wrf_dm_bcast_real ( NROTBL , NLUS ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_sf_noahdrv.fppized.f90:1709:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1709 | CALL wrf_dm_bcast_real ( TOPT_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1710:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1710 | CALL wrf_dm_bcast_real ( CMCMAX_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1711:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1711 | CALL wrf_dm_bcast_real ( CFACTR_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1712:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1712 | CALL wrf_dm_bcast_real ( RSMAX_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1845:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1845 | CALL wrf_dm_bcast_real ( SBETA_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1846:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1846 | CALL wrf_dm_bcast_real ( FXEXP_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1847:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1847 | CALL wrf_dm_bcast_real ( CSOIL_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1848:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1848 | CALL wrf_dm_bcast_real ( SALP_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1849:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1849 | CALL wrf_dm_bcast_real ( REFDK_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1850:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1850 | CALL wrf_dm_bcast_real ( REFKDT_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1851:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1851 | CALL wrf_dm_bcast_real ( FRZK_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1852:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1852 | CALL wrf_dm_bcast_real ( ZBOT_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1853:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1853 | CALL wrf_dm_bcast_real ( CZIL_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1854:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1854 | CALL wrf_dm_bcast_real ( SMLOW_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1855:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1855 | CALL wrf_dm_bcast_real ( SMHIGH_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1856:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1856 | CALL wrf_dm_bcast_real ( LVCOEF_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahmpdrv.F90 -o module_sf_noahmpdrv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noah_seaice.F90 -o module_sf_noah_seaice.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noah_seaice.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_noah_seaice.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahmp_glacier.F90 -o module_sf_noahmp_glacier.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahmp_glacier.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_noahmp_glacier.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahmp_groundwater.F90 -o module_sf_noahmp_groundwater.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahmp_groundwater.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_noahmp_groundwater.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahmplsm.F90 -o module_sf_noahmplsm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahmplsm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_noahmplsm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahmpdrv.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_noahmpdrv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_oml.F90 -o module_sf_oml.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_oml.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_oml.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_pxlsm.F90 -o module_sf_pxlsm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_pxlsm_data.F90 -o module_sf_pxlsm_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_pxlsm_data.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_pxlsm_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_pxlsm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_pxlsm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_pxsfclay.F90 -o module_sf_pxsfclay.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_pxsfclay.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_pxsfclay.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_qnsesfc.F90 -o module_sf_qnsesfc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_qnsesfc.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_qnsesfc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_ruclsm.F90 -o module_sf_ruclsm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_ruclsm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_ruclsm.fppized.f90 module_sf_ruclsm.fppized.f90:4592:31: 4592 | *DELT)/DENOM | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 330 at (1) module_sf_ruclsm.fppized.f90:5922:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 5922 | CALL wrf_dm_bcast_real ( IFORTBL , NLUS ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_sf_ruclsm.fppized.f90:5929:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 5929 | CALL wrf_dm_bcast_real ( TOPT_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:5930:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 5930 | CALL wrf_dm_bcast_real ( CMCMAX_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:5931:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 5931 | CALL wrf_dm_bcast_real ( CFACTR_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:5932:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 5932 | CALL wrf_dm_bcast_real ( RSMAX_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6055:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6055 | CALL wrf_dm_bcast_real ( SBETA_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6056:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6056 | CALL wrf_dm_bcast_real ( FXEXP_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6057:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6057 | CALL wrf_dm_bcast_real ( CSOIL_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6058:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6058 | CALL wrf_dm_bcast_real ( SALP_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6059:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6059 | CALL wrf_dm_bcast_real ( REFDK_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6060:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6060 | CALL wrf_dm_bcast_real ( REFKDT_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6061:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6061 | CALL wrf_dm_bcast_real ( FRZK_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6062:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6062 | CALL wrf_dm_bcast_real ( ZBOT_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6063:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6063 | CALL wrf_dm_bcast_real ( CZIL_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6064:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6064 | CALL wrf_dm_bcast_real ( SMLOW_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6065:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6065 | CALL wrf_dm_bcast_real ( SMHIGH_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_sfclayrev.F90 -o module_sf_sfclayrev.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_sfclayrev.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_sfclayrev.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_slab.F90 -o module_sf_slab.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_slab.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_slab.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_temfsfclay.F90 -o module_sf_temfsfclay.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_temfsfclay.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_temfsfclay.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_shcu_camuwshcu.F90 -o module_shcu_camuwshcu.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_shcu_camuwshcu.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_shcu_camuwshcu.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_shcu_grims.F90 -o module_shcu_grims.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_shcu_grims.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_shcu_grims.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_wind_fitch.F90 -o module_wind_fitch.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_wind_fitch.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_wind_fitch.fppized.f90 module_wind_fitch.fppized.f90:512:34: 374 | CALL wrf_dm_bcast_integer(nt,1) | 2 ...... 512 | CALL wrf_dm_bcast_integer(ival,nt*max_domains) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) module_wind_fitch.fppized.f90:513:34: 374 | CALL wrf_dm_bcast_integer(nt,1) | 2 ...... 513 | CALL wrf_dm_bcast_integer(jval,nt*max_domains) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) module_wind_fitch.fppized.f90:520:34: 374 | CALL wrf_dm_bcast_integer(nt,1) | 2 ...... 520 | CALL wrf_dm_bcast_integer(nkind,nt) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_physics_init.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_physics_init.fppized.f90 module_physics_init.fppized.f90:1351:33: 1348 | CALL wrf_dm_bcast_bytes (end_of_file, 4 ) | 2 ...... 1351 | CALL wrf_dm_bcast_bytes (lucats, 4 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_stoch.F90 -o module_stoch.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_stoch.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_stoch.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradb2.F90 -o mradb2.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradb2.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mradb2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradb3.F90 -o mradb3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradb3.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mradb3.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradb4.F90 -o mradb4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradb4.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mradb4.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradb5.F90 -o mradb5.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradb5.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mradb5.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradbg.F90 -o mradbg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradbg.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mradbg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradf2.F90 -o mradf2.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradf2.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mradf2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradf3.F90 -o mradf3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradf3.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mradf3.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradf4.F90 -o mradf4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradf4.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mradf4.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradf5.F90 -o mradf5.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradf5.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mradf5.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradfg.F90 -o mradfg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradfg.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mradfg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mrftb1.F90 -o mrftb1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrftb1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mrftb1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mrftf1.F90 -o mrftf1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrftf1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mrftf1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mrfti1.F90 -o mrfti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrfti1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mrfti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o my_strtok.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 my_strtok.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/attr.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/attr.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/dim.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/dim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/error.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/error.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-attio.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-attio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-control.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-control.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-dim.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-dim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-genatt.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-genatt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-geninq.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-geninq.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-genvar.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-genvar.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-lib.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-lib.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-misc.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-misc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varaio.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varaio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/libvers.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/libvers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/nc.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/nc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/ncio.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/ncio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/ncx.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/ncx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/putget.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/putget.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/string.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/string.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/v1hpg.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/v1hpg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/v2i.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/v2i.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/var.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/var.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_0_routines.F90 -o nl_get_0_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_0_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nl_get_0_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_1_routines.F90 -o nl_get_1_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_1_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nl_get_1_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_2_routines.F90 -o nl_get_2_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_2_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nl_get_2_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_3_routines.F90 -o nl_get_3_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_3_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nl_get_3_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_4_routines.F90 -o nl_get_4_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_4_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nl_get_4_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_5_routines.F90 -o nl_get_5_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_5_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nl_get_5_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_6_routines.F90 -o nl_get_6_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_6_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nl_get_6_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_7_routines.F90 -o nl_get_7_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_7_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nl_get_7_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_set_0_routines.F90 -o nl_set_0_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_set_0_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nl_set_0_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_set_1_routines.F90 -o nl_set_1_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_set_1_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nl_set_1_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_set_2_routines.F90 -o nl_set_2_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_set_2_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nl_set_2_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_set_7_routines.F90 -o nl_set_7_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_set_7_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nl_set_7_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 output_wrf.F90 -o output_wrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o output_wrf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp output_wrf.fppized.f90 output_wrf.fppized.f90:291:47: 287 | call nl_get_aer_ssa_opt ( grid%id, aer_ssa_opt ) | 2 ...... 291 | call nl_get_aer_ssa_opt ( grid%id, aer_ssa_val ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). output_wrf.fppized.f90:292:47: 288 | call nl_get_aer_asy_opt ( grid%id, aer_asy_opt ) | 2 ...... 292 | call nl_get_aer_asy_opt ( grid%id, aer_asy_val ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). output_wrf.fppized.f90:933:36: 909 | p%rfield_0d , & ! Field | 2 ...... 933 | p%dfield_0d , & ! Field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/REAL(4)). output_wrf.fppized.f90:1015:36: 909 | p%rfield_0d , & ! Field | 2 ...... 1015 | p%rfield_1d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) output_wrf.fppized.f90:1125:36: 909 | p%rfield_0d , & ! Field | 2 ...... 1125 | p%rfield_2d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) output_wrf.fppized.f90:1235:36: 909 | p%rfield_0d , & ! Field | 2 ...... 1235 | p%rfield_3d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) output_wrf.fppized.f90:1350:36: 1323 | p%rfield_4d , & ! Field | 2 ...... 1350 | p%dfield_4d , & ! Field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/REAL(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pack_utils.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 pack_utils.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o period.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 period.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r4_factor.F90 -o r4_factor.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r4_factor.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r4_factor.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r4_mcfti1.F90 -o r4_mcfti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r4_mcfti1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r4_mcfti1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r4_tables.F90 -o r4_tables.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r4_tables.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r4_tables.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reg_parse.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 reg_parse.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfftmb.F90 -o rfftmb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfftmb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp rfftmb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfftmf.F90 -o rfftmf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfftmf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp rfftmf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfftmi.F90 -o rfftmi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfftmi.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp rfftmi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o rsl_bcast.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 rsl_bcast.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 sint.F90 -o sint.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sint.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp sint.fppized.f90 sint.fppized.f90:191:72: 191 | DO 925 II=N1STAR,N1END | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 925 at (1) sint.fppized.f90:369:72: 369 | DO 925 II=N1STAR,N1END | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 925 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 start_domain.F90 -o start_domain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o start_domain.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp start_domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 start_em.F90 -o start_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o start_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp start_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o symtab_gen.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 symtab_gen.c symtab_gen.c: In function ‘create_ht’: symtab_gen.c:40:18: warning: ‘calloc’ argument 1 type is ‘int’ where ‘long unsigned int’ is expected in a call to built-in function declared without prototype [-Wbuiltin-declaration-mismatch] 40 | #define HASHSIZE 1024 | ^~~~ symtab_gen.c:120:28: note: in expansion of macro ‘HASHSIZE’ 120 | *p = (char **) calloc( HASHSIZE , sizeof( char * ) ) ; | ^~~~~~~~ symtab_gen.c:47:8: note: built-in ‘calloc’ declared here 47 | void * calloc() ; | ^~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o task_for_point.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 task_for_point.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 track_driver.F90 -o track_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o track_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp track_driver.fppized.f90 track_driver.fppized.f90:306:53: 298 | astat = NF_DEF_VAR(ncid,'Times', NF_CHAR, 2, var_dim(1:2), var_id) | 2 ...... 306 | astat = NF_DEF_VAR(ncid, 'lat' , NF_REAL, 1, time_dim, var_id ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) track_driver.fppized.f90:312:53: 298 | astat = NF_DEF_VAR(ncid,'Times', NF_CHAR, 2, var_dim(1:2), var_id) | 2 ...... 312 | astat = NF_DEF_VAR(ncid, 'lon' , NF_REAL, 1, time_dim, var_id ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) track_driver.fppized.f90:318:55: 298 | astat = NF_DEF_VAR(ncid,'Times', NF_CHAR, 2, var_dim(1:2), var_id) | 2 ...... 318 | astat = NF_DEF_VAR(ncid, 'grid_i' , NF_INT, 1, time_dim, var_id ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) track_driver.fppized.f90:324:55: 298 | astat = NF_DEF_VAR(ncid,'Times', NF_CHAR, 2, var_dim(1:2), var_id) | 2 ...... 324 | astat = NF_DEF_VAR(ncid, 'grid_j' , NF_INT, 1, time_dim, var_id ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) track_driver.fppized.f90:330:53: 298 | astat = NF_DEF_VAR(ncid,'Times', NF_CHAR, 2, var_dim(1:2), var_id) | 2 ...... 330 | astat = NF_DEF_VAR(ncid, 'ele' , NF_REAL, 1, time_dim, var_id ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 track_input.F90 -o track_input.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o track_input.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp track_input.fppized.f90 track_input.fppized.f90:225:30: 224 | CALL wrf_dm_bcast_real(grid%track_time_in, grid%track_loc) | 2 225 | CALL wrf_dm_bcast_real(grid%track_lat_in, grid%track_loc) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/CHARACTER(*)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o type.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 type.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_bdyin.F90 -o wrf_bdyin.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_bdyin.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp wrf_bdyin.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_bdyout.F90 -o wrf_bdyout.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_bdyout.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp wrf_bdyout.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_debug.F90 -o wrf_debug.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_debug.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp wrf_debug.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_ext_read_field.F90 -o wrf_ext_read_field.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_ext_read_field.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp wrf_ext_read_field.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_ext_write_field.F90 -o wrf_ext_write_field.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_ext_write_field.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp wrf_ext_write_field.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wrf_num_bytes_between.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wrf_num_bytes_between.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_timeseries.F90 -o wrf_timeseries.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_timeseries.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp wrf_timeseries.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_tsin.F90 -o wrf_tsin.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_tsin.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp wrf_tsin.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 xercon.F90 -o xercon.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o xercon.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp xercon.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 xerfft.F90 -o xerfft.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o xerfft.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp xerfft.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP ESMF_Alarm.fppized.o ESMF_AlarmClock.fppized.o ESMF_Base.fppized.o ESMF_BaseTime.fppized.o ESMF_Calendar.fppized.o ESMF_Clock.fppized.o ESMF_Mod.fppized.o ESMF_Stubs.fppized.o ESMF_Time.fppized.o ESMF_TimeInterval.fppized.o Meat.fppized.o adapt_timestep_em.fppized.o c1f2kb.fppized.o c1f3kb.fppized.o c1f4kb.fppized.o c1f5kb.fppized.o c1fgkb.fppized.o c1fm1b.fppized.o c_code.o cfft1b.fppized.o cfft1i.fppized.o collect_on_comm.o couple_or_uncouple_em.fppized.o data.o diffwrf.fppized.o field_routines.fppized.o hires_timer.o input_wrf.fppized.o interp_fcn.fppized.o io_int.fppized.o libmassv.fppized.o mediation_wrfmain.fppized.o misc.o module_alloc_space_0.fppized.o module_alloc_space_1.fppized.o module_alloc_space_2.fppized.o module_alloc_space_3.fppized.o module_alloc_space_4.fppized.o module_alloc_space_5.fppized.o module_alloc_space_ 6.fppized.o module_alloc_space_7.fppized.o module_alloc_space_8.fppized.o module_alloc_space_9.fppized.o module_avgflx_em.fppized.o module_bc.fppized.o module_bc_em.fppized.o module_bc_time_utilities.fppized.o module_bl_acm.fppized.o module_bl_boulac.fppized.o module_bl_camuwpbl_driver.fppized.o module_bl_gbmpbl.fppized.o module_bl_gfs.fppized.o module_bl_gfs2011.fppized.o module_bl_mfshconvpbl.fppized.o module_bl_mrf.fppized.o module_bl_myjpbl.fppized.o module_bl_myjurb.fppized.o module_bl_mynn.fppized.o module_bl_qnsepbl.fppized.o module_bl_qnsepbl09.fppized.o module_bl_temf.fppized.o module_bl_ysu.fppized.o module_cam_bl_diffusion_solver.fppized.o module_cam_bl_eddy_diff.fppized.o module_cam_cldwat.fppized.o module_cam_constituents.fppized.o module_cam_error_function.fppized.o module_cam_esinti.fppized.o module_cam_gffgch.fppized.o module_cam_molec_diff.fppized.o module_cam_mp_cldwat2m_micro.fppized.o module_cam_mp_conv_water.fppized.o module_cam_mp_microp_aero.fppized.o module_cam_mp_modal_aero_initia lize_data_phys.fppized.o module_cam_mp_ndrop.fppized.o module_cam_mp_qneg3.fppized.o module_cam_mp_radconstants.fppized.o module_cam_physconst.fppized.o module_cam_support.fppized.o module_cam_trb_mtn_stress.fppized.o module_cam_upper_bc.fppized.o module_cam_wv_saturation.fppized.o module_comm_dm.fppized.o module_comm_dm_0.fppized.o module_comm_dm_1.fppized.o module_comm_dm_2.fppized.o module_comm_dm_3.fppized.o module_comm_dm_4.fppized.o module_comm_nesting_dm.fppized.o module_configure.fppized.o module_cpl.fppized.o module_cpl_oasis3.fppized.o module_cu_bmj.fppized.o module_cu_camzm.fppized.o module_cu_camzm_driver.fppized.o module_cu_g3.fppized.o module_cu_gd.fppized.o module_cu_kf.fppized.o module_cu_kfeta.fppized.o module_cu_mesosas.fppized.o module_cu_nsas.fppized.o module_cu_osas.fppized.o module_cu_sas.fppized.o module_cu_tiedtke.fppized.o module_data_cam_mam_aero.fppized.o module_date_time.fppized.o module_diag_pld.fppized.o module_dm.fppized.o module_domain.fppized.o module_domain_type.fppized.o module_driver_constants.fppized.o module_fdda_psufddagd.fppized.o module_fdda_spnudging.fppized.o module_fddaobs_rtfdda.fppized.o module_fr_fire_atm.fppized.o module_fr_fire_core.fppized.o module_fr_fire_driver.fppized.o module_fr_fire_driver_wrf.fppized.o module_fr_fire_model.fppized.o module_fr_fire_phys.fppized.o module_fr_fire_util.fppized.o module_gfs_funcphys.fppized.o module_internal_header_util.fppized.o module_io.fppized.o module_io_domain.fppized.o module_io_quilt.fppized.o module_io_wrf.fppized.o module_lightning_driver.fppized.o module_llxy.fppized.o module_ltng_cpmpr92z.fppized.o module_ltng_crmpr92.fppized.o module_ltng_iccg.fppized.o module_ltng_lpi.fppized.o module_machine.fppized.o module_model_constants.fppized.o module_mp_cammgmp_driver.fppized.o module_mp_etanew.fppized.o module_mp_etaold.fppized.o module_mp_fast_sbm.fppized.o module_mp_full_sbm.fppized.o module_mp_milbrandt2mom.fppized.o module_mp_morr_two_moment.fppized.o module_mp_nssl_2mom.fppized.o module_mp_radar.fppized.o modul e_mp_thompson.fppized.o module_mp_wdm5.fppized.o module_mp_wdm6.fppized.o module_mp_wsm3.fppized.o module_mp_wsm5.fppized.o module_mp_wsm6.fppized.o module_physics_init.fppized.o module_ra_cam.fppized.o module_ra_cam_support.fppized.o module_ra_clWRF_support.fppized.o module_ra_gfdleta.fppized.o module_ra_gsfcsw.fppized.o module_ra_hs.fppized.o module_ra_rrtm.fppized.o module_ra_sw.fppized.o module_sf_bem.fppized.o module_sf_bep.fppized.o module_sf_bep_bem.fppized.o module_sf_lake.fppized.o module_sf_myjsfc.fppized.o module_sf_mynn.fppized.o module_sf_noah_seaice.fppized.o module_sf_noahdrv.fppized.o module_sf_noahlsm.fppized.o module_sf_noahlsm_glacial_only.fppized.o module_sf_noahmp_glacier.fppized.o module_sf_noahmp_groundwater.fppized.o module_sf_noahmpdrv.fppized.o module_sf_noahmplsm.fppized.o module_sf_oml.fppized.o module_sf_pxlsm.fppized.o module_sf_pxlsm_data.fppized.o module_sf_pxsfclay.fppized.o module_sf_qnsesfc.fppized.o module_sf_ruclsm.fppized.o module_sf_sfclay.fppized.o module_sf_sfclayr ev.fppized.o module_sf_slab.fppized.o module_sf_temfsfclay.fppized.o module_sf_urban.fppized.o module_shcu_camuwshcu.fppized.o module_shcu_grims.fppized.o module_state_description.fppized.o module_stoch.fppized.o module_streams.fppized.o module_symbols_util.fppized.o module_tiles.fppized.o module_timing.fppized.o module_utility.fppized.o module_wind_fitch.fppized.o module_wrf_error.fppized.o mradb2.fppized.o mradb3.fppized.o mradb4.fppized.o mradb5.fppized.o mradbg.fppized.o mradf2.fppized.o mradf3.fppized.o mradf4.fppized.o mradf5.fppized.o mradfg.fppized.o mrftb1.fppized.o mrftf1.fppized.o mrfti1.fppized.o my_strtok.o netcdf/attr.o netcdf/dim.o netcdf/error.o netcdf/fort-attio.o netcdf/fort-control.o netcdf/fort-dim.o netcdf/fort-genatt.o netcdf/fort-geninq.o netcdf/fort-genvar.o netcdf/fort-lib.o netcdf/fort-misc.o netcdf/fort-varaio.o netcdf/libvers.o netcdf/nc.o netcdf/ncio.o netcdf/ncx.o netcdf/putget.o netcdf/string.o netcdf/v1hpg.o netcdf/v2i.o netcdf/var.o nl_get_0_routines.fppized.o nl_get_1_rou tines.fppized.o nl_get_2_routines.fppized.o nl_get_3_routines.fppized.o nl_get_4_routines.fppized.o nl_get_5_routines.fppized.o nl_get_6_routines.fppized.o nl_get_7_routines.fppized.o nl_set_0_routines.fppized.o nl_set_1_routines.fppized.o nl_set_2_routines.fppized.o nl_set_7_routines.fppized.o output_wrf.fppized.o pack_utils.o period.o r4_factor.fppized.o r4_mcfti1.fppized.o r4_tables.fppized.o reg_parse.o rfftmb.fppized.o rfftmf.fppized.o rfftmi.fppized.o rsl_bcast.o sint.fppized.o start_domain.fppized.o start_em.fppized.o symtab_gen.o task_for_point.o track_driver.fppized.o track_input.fppized.o type.o wrf_bdyin.fppized.o wrf_bdyout.fppized.o wrf_debug.fppized.o wrf_ext_read_field.fppized.o wrf_ext_write_field.fppized.o wrf_io.fppized.o wrf_num_bytes_between.o wrf_timeseries.fppized.o wrf_tsin.fppized.o xercon.fppized.o xerfft.fppized.o -o diffwrf_621 Stop make.diffwrf_621 command: 2021-07-08 14:57:44 (1625770664.48887) Elapsed time for make.diffwrf_621 command: 00:07:39 (459.038642883301) Issuing options.diffwrf_621 command 'specmake --output-sync --jobs=1 options TARGET=diffwrf_621' Start options.diffwrf_621 command: 2021-07-08 14:57:44 (1625770664.49225) FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options.diffwrf_621 command: 2021-07-08 14:57:44 (1625770664.68562) Elapsed time for options.diffwrf_621 command: 00:00:00 (0.193375110626221) Issuing compiler-version.diffwrf_621 command 'specmake --output-sync --jobs=1 compiler-version TARGET=diffwrf_621' Start compiler-version.diffwrf_621 command: 2021-07-08 14:57:44 (1625770664.68956) FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.diffwrf_621 command: 2021-07-08 14:57:44 (1625770664.85613) Elapsed time for compiler-version.diffwrf_621 command: 00:00:00 (0.166568040847778) Issuing make.wrf_s command 'specmake --output-sync --jobs=1 build TARGET=wrf_s' Start make.wrf_s command: 2021-07-08 14:57:44 (1625770664.8602) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o alloc_2d.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 alloc_2d.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o apply_bitmap.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 apply_bitmap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o bobrand.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 bobrand.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f2kf.F90 -o c1f2kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f2kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp c1f2kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f3kf.F90 -o c1f3kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f3kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp c1f3kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f4kf.F90 -o c1f4kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f4kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp c1f4kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f5kf.F90 -o c1f5kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f5kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp c1f5kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1fgkf.F90 -o c1fgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1fgkf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp c1fgkf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1fm1f.F90 -o c1fm1f.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1fm1f.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp c1fm1f.fppized.f90 c1fm1f.fppized.f90:74:34: 72 | call c1f2kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 73 | else if ( nbr == 2 ) then 74 | call c1f2kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1f.fppized.f90:78:34: 76 | call c1f3kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 77 | else if ( nbr == 4 ) then 78 | call c1f3kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1f.fppized.f90:82:34: 80 | call c1f4kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 81 | else if ( nbr == 6 ) then 82 | call c1f4kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1f.fppized.f90:86:34: 84 | call c1f5kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 85 | else if ( nbr == 8 ) then 86 | call c1f5kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1f.fppized.f90:90:43: 88 | call c1fgkf ( ido, ip, l1, lid, na, c, c, inc2, ch, ch, 1, wa(iw) ) | 2 89 | else if ( nbr == 10 ) then 90 | call c1fgkf ( ido, ip, l1, lid, na, ch, ch, 2, c, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cfftmb.F90 -o cfftmb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfftmb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cfftmb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cfftmf.F90 -o cfftmf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfftmf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cfftmf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cfftmi.F90 -o cfftmi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfftmi.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cfftmi.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf2kb.F90 -o cmf2kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf2kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cmf2kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf2kf.F90 -o cmf2kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf2kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cmf2kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf3kb.F90 -o cmf3kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf3kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cmf3kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf3kf.F90 -o cmf3kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf3kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cmf3kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf4kb.F90 -o cmf4kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf4kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cmf4kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf4kf.F90 -o cmf4kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf4kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cmf4kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf5kb.F90 -o cmf5kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf5kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cmf5kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf5kf.F90 -o cmf5kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf5kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cmf5kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmfgkb.F90 -o cmfgkb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmfgkb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cmfgkb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmfgkf.F90 -o cmfgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmfgkf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cmfgkf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmfm1b.F90 -o cmfm1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmfm1b.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cmfm1b.fppized.f90 cmfm1b.fppized.f90:74:38: 72 | call cmf2kb ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 73 | else if ( nbr == 2 ) then 74 | call cmf2kb ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1b.fppized.f90:78:38: 76 | call cmf3kb ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 77 | else if ( nbr == 4 ) then 78 | call cmf3kb ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1b.fppized.f90:82:38: 80 | call cmf4kb ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 81 | else if ( nbr == 6 ) then 82 | call cmf4kb ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1b.fppized.f90:86:38: 84 | call cmf5kb ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 85 | else if ( nbr == 8 ) then 86 | call cmf5kb ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1b.fppized.f90:91:47: 88 | call cmfgkb ( lot, ido, ip, l1, lid, na, c, c, jump, inc, ch, ch, & | 2 ...... 91 | call cmfgkb ( lot, ido, ip, l1, lid, na, ch, ch, 1, lot, c, c, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmfm1f.F90 -o cmfm1f.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmfm1f.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cmfm1f.fppized.f90 cmfm1f.fppized.f90:74:38: 72 | call cmf2kf ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 73 | else if ( nbr == 2 ) then 74 | call cmf2kf ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1f.fppized.f90:78:38: 76 | call cmf3kf ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 77 | else if ( nbr == 4 ) then 78 | call cmf3kf ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1f.fppized.f90:82:38: 80 | call cmf4kf ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 81 | else if ( nbr == 6 ) then 82 | call cmf4kf ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1f.fppized.f90:86:38: 84 | call cmf5kf ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 85 | else if ( nbr == 8 ) then 86 | call cmf5kf ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1f.fppized.f90:91:47: 88 | call cmfgkf ( lot, ido, ip, l1, lid, na, c, c, jump, inc, ch, ch, & | 2 ...... 91 | call cmfgkf ( lot, ido, ip, l1, lid, na, ch, ch, 1, lot, c, c, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosq1b.F90 -o cosq1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosq1b.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cosq1b.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosq1f.F90 -o cosq1f.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosq1f.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cosq1f.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosq1i.F90 -o cosq1i.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosq1i.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cosq1i.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosqb1.F90 -o cosqb1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosqb1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cosqb1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosqf1.F90 -o cosqf1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosqf1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cosqf1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosqmb.F90 -o cosqmb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosqmb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cosqmb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosqmf.F90 -o cosqmf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosqmf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cosqmf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosqmi.F90 -o cosqmi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosqmi.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp cosqmi.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f2kb.F90 -o d1f2kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f2kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp d1f2kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f2kf.F90 -o d1f2kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f2kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp d1f2kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f3kb.F90 -o d1f3kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f3kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp d1f3kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f3kf.F90 -o d1f3kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f3kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp d1f3kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f4kb.F90 -o d1f4kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f4kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp d1f4kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f4kf.F90 -o d1f4kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f4kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp d1f4kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f5kb.F90 -o d1f5kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f5kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp d1f5kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f5kf.F90 -o d1f5kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f5kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp d1f5kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1fgkb.F90 -o d1fgkb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1fgkb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp d1fgkb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1fgkf.F90 -o d1fgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1fgkf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp d1fgkf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dfft1b.F90 -o dfft1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dfft1b.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp dfft1b.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dfft1f.F90 -o dfft1f.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dfft1f.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp dfft1f.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dfft1i.F90 -o dfft1i.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dfft1i.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp dfft1i.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dfftb1.F90 -o dfftb1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dfftb1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp dfftb1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dfftf1.F90 -o dfftf1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dfftf1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp dfftf1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dffti1.F90 -o dffti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dffti1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp dffti1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dfi.F90 -o dfi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dfi.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp dfi.fppized.f90 dfi.fppized.f90:1876:25: 1876 | IF(NEG) 170,170,180 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) dfi.fppized.f90:1913:25: 1913 | IF(NEG) 300,300,320 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) dfi.fppized.f90:2081:16: 2081 | 110 X(J)=DTEMP | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 110 at (1) dfi.fppized.f90:2084:25: 2084 | 120 AD(J)=D(J,NZ,JET,X) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 120 at (1) dfi.fppized.f90:2094:10: 2094 | 130 K=-K | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 130 at (1) dfi.fppized.f90:2104:10: 2104 | 140 K=-K | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 140 at (1) dfi.fppized.f90:2224:28: 2224 | 345 IEXT(NZZ-J)=IEXT(NZ-J) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 345 at (1) dfi.fppized.f90:2229:23: 2229 | 360 IEXT(J)=IEXT(J+1) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 360 at (1) dfi.fppized.f90:2281:37: 2281 | 500 DTEMP=DTEMP+A(K+1)*DCOS(DNUM*K) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 500 at (1) dfi.fppized.f90:2283:20: 2283 | 510 ALPHA(J)=DTEMP | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 510 at (1) dfi.fppized.f90:2285:28: 2285 | 550 ALPHA(J)=2*ALPHA(J)/CN | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 550 at (1) dfi.fppized.f90:2299:22: 2299 | 520 P(K)=2.0*BB*A(K) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 520 at (1) dfi.fppized.f90:2303:30: 2303 | 525 P(K)=P(K)+Q(K)+AA*A(K+1) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 525 at (1) dfi.fppized.f90:2306:25: 2306 | 530 P(K)=P(K)+AA*A(K-1) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 530 at (1) dfi.fppized.f90:2309:16: 2309 | 535 Q(K)=-A(K) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 535 at (1) dfi.fppized.f90:2313:19: 2313 | 543 ALPHA(J)=P(J) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 543 at (1) dfi.fppized.f90:2331:25: 2331 | IF(J-K) 1,2,1 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o flt2ieee.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 flt2ieee.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gbyte.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gbyte.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o get_region_center.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 get_region_center.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o grib1_routines.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 grib1_routines.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o grib_dec.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 grib_dec.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o grib_enc.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 grib_enc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o grib_seek.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 grib_seek.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o grib_uthin.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 grib_uthin.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribgetbds.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribgetbds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribgetbms.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribgetbms.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribgetgds.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribgetgds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribgetpds.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribgetpds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribhdr2file.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribhdr2file.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribmap.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribmap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribputbds.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribputbds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribputgds.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribputgds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribputpds.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribputpds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gridnav.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gridnav.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o init_dec_struct.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 init_dec_struct.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o init_gribhdr.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 init_gribhdr.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 init_modules.F90 -o init_modules.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_nesting.F90 -o module_nesting.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_nesting.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_nesting.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o init_modules.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp init_modules.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 init_modules_em.F90 -o init_modules_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o init_modules_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp init_modules_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o io_int_idx.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 io_int_idx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o landread.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 landread.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ld_dec_lookup.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ld_dec_lookup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ld_grib_origctrs.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ld_grib_origctrs.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mcsqb1.F90 -o mcsqb1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mcsqb1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mcsqb1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mcsqf1.F90 -o mcsqf1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mcsqf1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mcsqf1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mediation_feedback_domain.F90 -o mediation_feedback_domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_intermediate_nmm.F90 -o module_intermediate_nmm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_intermediate_nmm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_intermediate_nmm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mediation_feedback_domain.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mediation_feedback_domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mediation_force_domain.F90 -o mediation_force_domain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mediation_force_domain.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mediation_force_domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mediation_integrate.F90 -o mediation_integrate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mediation_integrate.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mediation_integrate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mediation_interp_domain.F90 -o mediation_interp_domain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mediation_interp_domain.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp mediation_interp_domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_advect_em.F90 -o module_advect_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_advect_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_advect_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_after_all_rk_steps.F90 -o module_after_all_rk_steps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diagnostics_driver.F90 -o module_diagnostics_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diag_afwa.F90 -o module_diag_afwa.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diag_afwa_hail.F90 -o module_diag_afwa_hail.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diag_afwa_hail.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_diag_afwa_hail.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diag_afwa.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_diag_afwa.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diag_cl.F90 -o module_diag_cl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diag_cl.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_diag_cl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diag_misc.F90 -o module_diag_misc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diag_misc.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_diag_misc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diagnostics_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_diagnostics_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_after_all_rk_steps.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_after_all_rk_steps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_big_step_utilities_em.F90 -o module_big_step_utilities_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_big_step_utilities_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_big_step_utilities_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_fogdes.F90 -o module_bl_fogdes.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_fogdes.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_fogdes.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_gwdo.F90 -o module_bl_gwdo.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_gwdo.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_bl_gwdo.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_check_a_mundo.F90 -o module_check_a_mundo.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_check_a_mundo.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_check_a_mundo.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_convtrans_prep.F90 -o module_convtrans_prep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_convtrans_prep.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_convtrans_prep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_gf.F90 -o module_cu_gf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_gf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cu_gf.fppized.f90 module_cu_gf.fppized.f90:3160:24: 3160 | DO 100 i=its,itf | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_cu_gf.fppized.f90:3763:24: 3763 | DO 100 i=its,itf | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cumulus_driver.F90 -o module_cumulus_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cumulus_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_cumulus_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_damping_em.F90 -o module_damping_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_damping_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_damping_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diffusion_em.F90 -o module_diffusion_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diffusion_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_diffusion_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_em.F90 -o module_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fddagd_driver.F90 -o module_fddagd_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fddagd_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_fddagd_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fddaobs_driver.F90 -o module_fddaobs_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fddaobs_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_fddaobs_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_first_rk_step_part1.F90 -o module_first_rk_step_part1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_force_scm.F90 -o module_force_scm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_init_utilities.F90 -o module_init_utilities.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_init_utilities.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_init_utilities.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_force_scm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_force_scm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_pbl_driver.F90 -o module_pbl_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_pbl_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_pbl_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_radiation_driver.F90 -o module_radiation_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_aerosol.F90 -o module_ra_aerosol.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_aerosol.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ra_aerosol.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_flg.F90 -o module_ra_flg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_flg.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ra_flg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_goddard.F90 -o module_ra_goddard.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_goddard.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_ra_goddard.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_radiation_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_radiation_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_shallowcu_driver.F90 -o module_shallowcu_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_shcu_camuwshcu_driver.F90 -o module_shcu_camuwshcu_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_shcu_camuwshcu_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_shcu_camuwshcu_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_shallowcu_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_shallowcu_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_surface_driver.F90 -o module_surface_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_fogdes.F90 -o module_sf_fogdes.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_fogdes.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_fogdes.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_gfs.F90 -o module_sf_gfs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_progtm.F90 -o module_progtm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_progtm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_progtm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_gfs.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_gfs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_idealscmsfclay.F90 -o module_sf_idealscmsfclay.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_idealscmsfclay.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_idealscmsfclay.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noah_seaice_drv.F90 -o module_sf_noah_seaice_drv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noah_seaice_drv.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_noah_seaice_drv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_ocean_driver.F90 -o module_sf_ocean_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_3dpwp.F90 -o module_sf_3dpwp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_3dpwp.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_3dpwp.fppized.f90 module_sf_3dpwp.fppized.f90:238:33: 238 | do 635 i = i_start, i_end | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 635 at (1) module_sf_3dpwp.fppized.f90:308:36: 308 | do 6327 j = j_start-1, j_end+1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 6327 at (1) module_sf_3dpwp.fppized.f90:334:36: 334 | do 410 i = i_start, i_end | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 410 at (1) module_sf_3dpwp.fppized.f90:496:27: 496 | do 510 j = jts, jte | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 510 at (1) module_sf_3dpwp.fppized.f90:542:25: 542 | do 24 j = jts, jte | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 24 at (1) module_sf_3dpwp.fppized.f90:543:24: 543 | do 24 k = 1, okme | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 24 at (1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_ocean_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_ocean_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_scmflux.F90 -o module_sf_scmflux.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_scmflux.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_scmflux.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_scmskintemp.F90 -o module_sf_scmskintemp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_scmskintemp.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_scmskintemp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_sfcdiags.F90 -o module_sf_sfcdiags.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_sfcdiags.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_sfcdiags.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_sfcdiags_ruclsm.F90 -o module_sf_sfcdiags_ruclsm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_sfcdiags_ruclsm.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_sfcdiags_ruclsm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_ssib.F90 -o module_sf_ssib.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_ssib.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_ssib.fppized.f90 module_sf_ssib.fppized.f90:1219:28: 1219 | DO 1100 IWAVE = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1100 at (1) module_sf_ssib.fppized.f90:1220:28: 1220 | DO 1100 IRAD = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1100 at (1) module_sf_ssib.fppized.f90:3056:25: 3056 | do 202 iwave = 1, 3 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 202 at (1) module_sf_ssib.fppized.f90:3057:25: 3057 | do 202 irad = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 202 at (1) module_sf_ssib.fppized.f90:3414:26: 3414 | DO 7000 IWAVE = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 7000 at (1) module_sf_ssib.fppized.f90:3415:26: 3415 | DO 7000 IRAD = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 7000 at (1) module_sf_ssib.fppized.f90:3486:25: 3486 | do 202 iwave = 1, 3 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 202 at (1) module_sf_ssib.fppized.f90:3487:25: 3487 | do 202 irad = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 202 at (1) module_sf_ssib.fppized.f90:3837:26: 3837 | DO 7000 IWAVE = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 7000 at (1) module_sf_ssib.fppized.f90:3838:26: 3838 | DO 7000 IRAD = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 7000 at (1) module_sf_ssib.fppized.f90:4441:17: 4441 | 7400 DEP(I) = 0. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 7400 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_sstskin.F90 -o module_sf_sstskin.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_sstskin.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_sstskin.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_tmnupdate.F90 -o module_sf_tmnupdate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_tmnupdate.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_sf_tmnupdate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_surface_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_surface_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_first_rk_step_part1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_first_rk_step_part1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_first_rk_step_part2.F90 -o module_first_rk_step_part2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_physics_addtendc.F90 -o module_physics_addtendc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_physics_addtendc.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_physics_addtendc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_first_rk_step_part2.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_first_rk_step_part2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_integrate.F90 -o module_integrate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_integrate.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_integrate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_microphysics_driver.F90 -o module_microphysics_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mixactivate.F90 -o module_mixactivate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mixactivate.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mixactivate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_gsfcgce.F90 -o module_mp_gsfcgce.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_gsfcgce.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_gsfcgce.fppized.f90 module_mp_gsfcgce.fppized.f90:869:16: 869 | 10 PF=PF*TEMP | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 10 at (1) module_mp_gsfcgce.fppized.f90:876:34: 876 | 30 G1TO2=G1TO2 + B(K1)*TEMP**K1 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 30 at (1) module_mp_gsfcgce.fppized.f90:1686:26: 1686 | do 2000 i=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_kessler.F90 -o module_mp_kessler.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_kessler.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_kessler.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_lin.F90 -o module_mp_lin.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_lin.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_lin.fppized.f90 module_mp_lin.fppized.f90:2744:16: 2744 | 10 PF=PF*TEMP | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 10 at (1) module_mp_lin.fppized.f90:2751:34: 2751 | 30 G1TO2=G1TO2 + B(K1)*TEMP**K1 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 30 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_sbu_ylin.F90 -o module_mp_sbu_ylin.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_sbu_ylin.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_mp_sbu_ylin.fppized.f90 module_mp_sbu_ylin.fppized.f90:1734:16: 1734 | 10 PF=PF*TEMP | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 10 at (1) module_mp_sbu_ylin.fppized.f90:1741:34: 1741 | 30 G1TO2=G1TO2 + B(K1)*TEMP**K1 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 30 at (1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_microphysics_driver.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_microphysics_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_microphysics_zero_out.F90 -o module_microphysics_zero_out.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_microphysics_zero_out.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_microphysics_zero_out.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_optional_input.F90 -o module_optional_input.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_optional_input.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_optional_input.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_polarfft.F90 -o module_polarfft.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_polarfft.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_polarfft.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_small_step_em.F90 -o module_small_step_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_small_step_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_small_step_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_soil_pre.F90 -o module_soil_pre.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_soil_pre.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_soil_pre.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_solvedebug_em.F90 -o module_solvedebug_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_solvedebug_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_solvedebug_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_wrf_top.F90 -o module_wrf_top.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_wrf_top.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp module_wrf_top.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 msntb1.F90 -o msntb1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o msntb1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp msntb1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 msntf1.F90 -o msntf1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o msntf1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp msntf1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nest_init_utils.F90 -o nest_init_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nest_init_utils.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nest_init_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_set_6_routines.F90 -o nl_set_6_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_set_6_routines.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp nl_set_6_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pack_spatial.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 pack_spatial.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f2kb.F90 -o r1f2kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f2kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r1f2kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f2kf.F90 -o r1f2kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f2kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r1f2kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f3kb.F90 -o r1f3kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f3kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r1f3kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f3kf.F90 -o r1f3kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f3kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r1f3kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f4kb.F90 -o r1f4kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f4kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r1f4kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f4kf.F90 -o r1f4kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f4kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r1f4kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f5kb.F90 -o r1f5kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f5kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r1f5kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f5kf.F90 -o r1f5kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f5kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r1f5kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1fgkb.F90 -o r1fgkb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1fgkb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r1fgkb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1fgkf.F90 -o r1fgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1fgkf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r1fgkf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r8_factor.F90 -o r8_factor.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r8_factor.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r8_factor.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r8_mcfti1.F90 -o r8_mcfti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r8_mcfti1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r8_mcfti1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r8_tables.F90 -o r8_tables.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r8_tables.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp r8_tables.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o read_grib.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 read_grib.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfft1b.F90 -o rfft1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfft1b.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp rfft1b.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfft1f.F90 -o rfft1f.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfft1f.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp rfft1f.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfft1i.F90 -o rfft1i.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfft1i.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp rfft1i.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfftb1.F90 -o rfftb1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfftb1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp rfftb1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfftf1.F90 -o rfftf1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfftf1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp rfftf1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rffti1.F90 -o rffti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rffti1.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp rffti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o set_bytes.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 set_bytes.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 set_timekeeping.F90 -o set_timekeeping.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_timekeeping.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp set_timekeeping.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o setfeenv.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 setfeenv.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 solve_em.F90 -o solve_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o solve_em.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp solve_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 solve_interface.F90 -o solve_interface.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o solve_interface.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp solve_interface.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o trim.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 trim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o upd_child_errmsg.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 upd_child_errmsg.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf.F90 -o wrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp wrf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_fddaobs_in.F90 -o wrf_fddaobs_in.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_fddaobs_in.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp wrf_fddaobs_in.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_shutdown.F90 -o wrf_shutdown.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_shutdown.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp wrf_shutdown.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f2kb.F90 -o z1f2kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f2kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp z1f2kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f2kf.F90 -o z1f2kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f2kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp z1f2kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f3kb.F90 -o z1f3kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f3kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp z1f3kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f3kf.F90 -o z1f3kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f3kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp z1f3kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f4kb.F90 -o z1f4kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f4kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp z1f4kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f4kf.F90 -o z1f4kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f4kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp z1f4kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f5kb.F90 -o z1f5kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f5kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp z1f5kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f5kf.F90 -o z1f5kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f5kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp z1f5kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1fgkb.F90 -o z1fgkb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1fgkb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp z1fgkb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1fgkf.F90 -o z1fgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1fgkf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp z1fgkf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1fm1b.F90 -o z1fm1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1fm1b.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp z1fm1b.fppized.f90 z1fm1b.fppized.f90:73:33: 71 | call z1f2kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 72 | else if ( nbr == 2 ) then 73 | call z1f2kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1b.fppized.f90:77:33: 75 | call z1f3kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 76 | else if ( nbr == 4 ) then 77 | call z1f3kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1b.fppized.f90:81:33: 79 | call z1f4kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 80 | else if ( nbr == 6 ) then 81 | call z1f4kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1b.fppized.f90:85:33: 83 | call z1f5kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 84 | else if ( nbr == 8 ) then 85 | call z1f5kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1b.fppized.f90:89:42: 87 | call z1fgkb ( ido, ip, l1, lid, na, c, c, inc2, ch, ch, 2, wa(iw) ) | 2 88 | else if ( nbr == 10 ) then 89 | call z1fgkb ( ido, ip, l1, lid, na, ch, ch, 2, c, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1fm1f.F90 -o z1fm1f.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1fm1f.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp z1fm1f.fppized.f90 z1fm1f.fppized.f90:72:34: 70 | call z1f2kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 71 | else if ( nbr == 2 ) then 72 | call z1f2kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1f.fppized.f90:76:34: 74 | call z1f3kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 75 | else if ( nbr == 4 ) then 76 | call z1f3kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1f.fppized.f90:80:34: 78 | call z1f4kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 79 | else if ( nbr == 6 ) then 80 | call z1f4kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1f.fppized.f90:84:34: 82 | call z1f5kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 83 | else if ( nbr == 8 ) then 84 | call z1f5kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1f.fppized.f90:88:43: 86 | call z1fgkf ( ido, ip, l1, lid, na, c, c, inc2, ch, ch, 1, wa(iw) ) | 2 87 | else if ( nbr == 10 ) then 88 | call z1fgkf ( ido, ip, l1, lid, na, ch, ch, 2, c, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf2kb.F90 -o zmf2kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf2kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp zmf2kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf2kf.F90 -o zmf2kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf2kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp zmf2kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf3kb.F90 -o zmf3kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf3kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp zmf3kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf3kf.F90 -o zmf3kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf3kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp zmf3kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf4kb.F90 -o zmf4kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf4kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp zmf4kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf4kf.F90 -o zmf4kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf4kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp zmf4kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf5kb.F90 -o zmf5kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf5kb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp zmf5kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf5kf.F90 -o zmf5kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf5kf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp zmf5kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmfgkb.F90 -o zmfgkb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmfgkb.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp zmfgkb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmfgkf.F90 -o zmfgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmfgkf.fppized.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp zmfgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP ESMF_Alarm.fppized.o ESMF_AlarmClock.fppized.o ESMF_Base.fppized.o ESMF_BaseTime.fppized.o ESMF_Calendar.fppized.o ESMF_Clock.fppized.o ESMF_Fraction.fppized.o ESMF_Mod.fppized.o ESMF_Stubs.fppized.o ESMF_Time.fppized.o ESMF_TimeInterval.fppized.o Meat.fppized.o adapt_timestep_em.fppized.o alloc_2d.o apply_bitmap.o bobrand.o c1f2kb.fppized.o c1f2kf.fppized.o c1f3kb.fppized.o c1f3kf.fppized.o c1f4kb.fppized.o c1f4kf.fppized.o c1f5kb.fppized.o c1f5kf.fppized.o c1fgkb.fppized.o c1fgkf.fppized.o c1fm1b.fppized.o c1fm1f.fppized.o c_code.o cfft1b.fppized.o cfft1i.fppized.o cfftmb.fppized.o cfftmf.fppized.o cfftmi.fppized.o cmf2kb.fppized.o cmf2kf.fppized.o cmf3kb.fppized.o cmf3kf.fppized.o cmf4kb.fppized.o cmf4kf.fppized.o cmf5kb.fppized.o cmf5kf.fppized.o cmfgkb.fppized.o cmfgkf.fppized.o cmfm1b.fppized.o cmfm1f.fppized.o collect_on_com m.o cosq1b.fppized.o cosq1f.fppized.o cosq1i.fppized.o cosqb1.fppized.o cosqf1.fppized.o cosqmb.fppized.o cosqmf.fppized.o cosqmi.fppized.o couple_or_uncouple_em.fppized.o d1f2kb.fppized.o d1f2kf.fppized.o d1f3kb.fppized.o d1f3kf.fppized.o d1f4kb.fppized.o d1f4kf.fppized.o d1f5kb.fppized.o d1f5kf.fppized.o d1fgkb.fppized.o d1fgkf.fppized.o data.o dfft1b.fppized.o dfft1f.fppized.o dfft1i.fppized.o dfftb1.fppized.o dfftf1.fppized.o dffti1.fppized.o dfi.fppized.o field_routines.fppized.o flt2ieee.o gbyte.o get_region_center.o grib1_routines.o grib_dec.o grib_enc.o grib_seek.o grib_uthin.o gribgetbds.o gribgetbms.o gribgetgds.o gribgetpds.o gribhdr2file.o gribmap.o gribputbds.o gribputgds.o gribputpds.o gridnav.o hires_timer.o init_dec_struct.o init_gribhdr.o init_modules.fppized.o init_modules_em.fppized.o input_wrf.fppized.o interp_fcn.fppized.o io_int.fppized.o io_int_idx.o landread.o ld_dec_lookup.o ld_grib_origctrs.o libmassv.fppized.o mcsqb1.fppized.o mcsqf1.fppized.o mediation_feedback_domain.fppized.o mediation_force_domain.fppized.o mediation_integrate.fppized.o mediation_interp_domain.fppized.o mediation_wrfmain.fppized.o misc.o module_advect_em.fppized.o module_after_all_rk_steps.fppized.o module_alloc_space_0.fppized.o module_alloc_space_1.fppized.o module_alloc_space_2.fppized.o module_alloc_space_3.fppized.o module_alloc_space_4.fppized.o module_alloc_space_5.fppized.o module_alloc_space_6.fppized.o module_alloc_space_7.fppized.o module_alloc_space_8.fppized.o module_alloc_space_9.fppized.o module_avgflx_em.fppized.o module_bc.fppized.o module_bc_em.fppized.o module_bc_time_utilities.fppized.o module_big_step_utilities_em.fppized.o module_bl_acm.fppized.o module_bl_boulac.fppized.o module_bl_camuwpbl_driver.fppized.o module_bl_fogdes.fppized.o module_bl_gbmpbl.fppized.o module_bl_gfs.fppized.o module_bl_gfs2011.fppized.o module_bl_gwdo.fppized.o module_bl_mfshconvpbl.fppized.o module_bl_mrf.fppized.o module_bl_myjpbl.fppized.o module_bl_myjurb.fppized.o module_bl_mynn.fppized.o module_bl_qnsepbl .fppized.o module_bl_qnsepbl09.fppized.o module_bl_temf.fppized.o module_bl_ysu.fppized.o module_cam_bl_diffusion_solver.fppized.o module_cam_bl_eddy_diff.fppized.o module_cam_cldwat.fppized.o module_cam_constituents.fppized.o module_cam_error_function.fppized.o module_cam_esinti.fppized.o module_cam_gffgch.fppized.o module_cam_molec_diff.fppized.o module_cam_mp_cldwat2m_micro.fppized.o module_cam_mp_conv_water.fppized.o module_cam_mp_microp_aero.fppized.o module_cam_mp_modal_aero_initialize_data_phys.fppized.o module_cam_mp_ndrop.fppized.o module_cam_mp_qneg3.fppized.o module_cam_mp_radconstants.fppized.o module_cam_physconst.fppized.o module_cam_shr_const_mod.fppized.o module_cam_shr_kind_mod.fppized.o module_cam_support.fppized.o module_cam_trb_mtn_stress.fppized.o module_cam_upper_bc.fppized.o module_cam_wv_saturation.fppized.o module_check_a_mundo.fppized.o module_comm_dm.fppized.o module_comm_dm_0.fppized.o module_comm_dm_1.fppized.o module_comm_dm_2.fppized.o module_comm_dm_3.fppized.o module_comm_ dm_4.fppized.o module_comm_nesting_dm.fppized.o module_configure.fppized.o module_convtrans_prep.fppized.o module_cpl.fppized.o module_cpl_oasis3.fppized.o module_cu_bmj.fppized.o module_cu_camzm.fppized.o module_cu_camzm_driver.fppized.o module_cu_g3.fppized.o module_cu_gd.fppized.o module_cu_gf.fppized.o module_cu_kf.fppized.o module_cu_kfeta.fppized.o module_cu_mesosas.fppized.o module_cu_nsas.fppized.o module_cu_osas.fppized.o module_cu_sas.fppized.o module_cu_tiedtke.fppized.o module_cumulus_driver.fppized.o module_damping_em.fppized.o module_data_cam_mam_aero.fppized.o module_data_cam_mam_asect.fppized.o module_data_gocart_dust.fppized.o module_date_time.fppized.o module_diag_afwa.fppized.o module_diag_afwa_hail.fppized.o module_diag_cl.fppized.o module_diag_misc.fppized.o module_diag_pld.fppized.o module_diagnostics_driver.fppized.o module_diffusion_em.fppized.o module_dm.fppized.o module_domain.fppized.o module_domain_type.fppized.o module_driver_constants.fppized.o module_em.fppized.o module_fdda _psufddagd.fppized.o module_fdda_spnudging.fppized.o module_fddagd_driver.fppized.o module_fddaobs_driver.fppized.o module_fddaobs_rtfdda.fppized.o module_first_rk_step_part1.fppized.o module_first_rk_step_part2.fppized.o module_force_scm.fppized.o module_fr_fire_atm.fppized.o module_fr_fire_core.fppized.o module_fr_fire_driver.fppized.o module_fr_fire_driver_wrf.fppized.o module_fr_fire_model.fppized.o module_fr_fire_phys.fppized.o module_fr_fire_util.fppized.o module_gfs_funcphys.fppized.o module_gfs_machine.fppized.o module_gfs_physcons.fppized.o module_init_utilities.fppized.o module_integrate.fppized.o module_intermediate_nmm.fppized.o module_internal_header_util.fppized.o module_interp_store.fppized.o module_io.fppized.o module_io_domain.fppized.o module_io_quilt.fppized.o module_io_wrf.fppized.o module_lightning_driver.fppized.o module_llxy.fppized.o module_ltng_cpmpr92z.fppized.o module_ltng_crmpr92.fppized.o module_ltng_iccg.fppized.o module_ltng_lpi.fppized.o module_machine.fppized.o module_micr ophysics_driver.fppized.o module_microphysics_zero_out.fppized.o module_mixactivate.fppized.o module_model_constants.fppized.o module_mp_HWRF.fppized.o module_mp_cammgmp_driver.fppized.o module_mp_etanew.fppized.o module_mp_etaold.fppized.o module_mp_fast_sbm.fppized.o module_mp_full_sbm.fppized.o module_mp_gsfcgce.fppized.o module_mp_kessler.fppized.o module_mp_lin.fppized.o module_mp_milbrandt2mom.fppized.o module_mp_morr_two_moment.fppized.o module_mp_nssl_2mom.fppized.o module_mp_radar.fppized.o module_mp_sbu_ylin.fppized.o module_mp_thompson.fppized.o module_mp_wdm5.fppized.o module_mp_wdm6.fppized.o module_mp_wsm3.fppized.o module_mp_wsm5.fppized.o module_mp_wsm6.fppized.o module_nesting.fppized.o module_optional_input.fppized.o module_pbl_driver.fppized.o module_physics_addtendc.fppized.o module_physics_init.fppized.o module_polarfft.fppized.o module_progtm.fppized.o module_quilt_outbuf_ops.fppized.o module_ra_HWRF.fppized.o module_ra_aerosol.fppized.o module_ra_cam.fppized.o module_ra_cam_support. fppized.o module_ra_clWRF_support.fppized.o module_ra_flg.fppized.o module_ra_gfdleta.fppized.o module_ra_goddard.fppized.o module_ra_gsfcsw.fppized.o module_ra_hs.fppized.o module_ra_rrtm.fppized.o module_ra_sw.fppized.o module_radiation_driver.fppized.o module_sf_3dpwp.fppized.o module_sf_bem.fppized.o module_sf_bep.fppized.o module_sf_bep_bem.fppized.o module_sf_clm.fppized.o module_sf_fogdes.fppized.o module_sf_gfdl.fppized.o module_sf_gfs.fppized.o module_sf_idealscmsfclay.fppized.o module_sf_lake.fppized.o module_sf_myjsfc.fppized.o module_sf_mynn.fppized.o module_sf_noah_seaice.fppized.o module_sf_noah_seaice_drv.fppized.o module_sf_noahdrv.fppized.o module_sf_noahlsm.fppized.o module_sf_noahlsm_glacial_only.fppized.o module_sf_noahmp_glacier.fppized.o module_sf_noahmp_groundwater.fppized.o module_sf_noahmpdrv.fppized.o module_sf_noahmplsm.fppized.o module_sf_ocean_driver.fppized.o module_sf_oml.fppized.o module_sf_pxlsm.fppized.o module_sf_pxlsm_data.fppized.o module_sf_pxsfclay.fppized.o module_s f_qnsesfc.fppized.o module_sf_ruclsm.fppized.o module_sf_scmflux.fppized.o module_sf_scmskintemp.fppized.o module_sf_sfcdiags.fppized.o module_sf_sfcdiags_ruclsm.fppized.o module_sf_sfclay.fppized.o module_sf_sfclayrev.fppized.o module_sf_slab.fppized.o module_sf_ssib.fppized.o module_sf_sstskin.fppized.o module_sf_temfsfclay.fppized.o module_sf_tmnupdate.fppized.o module_sf_urban.fppized.o module_shallowcu_driver.fppized.o module_shcu_camuwshcu.fppized.o module_shcu_camuwshcu_driver.fppized.o module_shcu_grims.fppized.o module_small_step_em.fppized.o module_soil_pre.fppized.o module_solvedebug_em.fppized.o module_state_description.fppized.o module_stoch.fppized.o module_streams.fppized.o module_surface_driver.fppized.o module_symbols_util.fppized.o module_tiles.fppized.o module_timing.fppized.o module_utility.fppized.o module_wind_fitch.fppized.o module_wrf_error.fppized.o module_wrf_top.fppized.o mradb2.fppized.o mradb3.fppized.o mradb4.fppized.o mradb5.fppized.o mradbg.fppized.o mradf2.fppized.o mradf3 .fppized.o mradf4.fppized.o mradf5.fppized.o mradfg.fppized.o mrftb1.fppized.o mrftf1.fppized.o mrfti1.fppized.o msntb1.fppized.o msntf1.fppized.o my_strtok.o nest_init_utils.fppized.o netcdf/attr.o netcdf/dim.o netcdf/error.o netcdf/fort-attio.o netcdf/fort-control.o netcdf/fort-dim.o netcdf/fort-genatt.o netcdf/fort-geninq.o netcdf/fort-genvar.o netcdf/fort-lib.o netcdf/fort-misc.o netcdf/fort-varaio.o netcdf/libvers.o netcdf/nc.o netcdf/ncio.o netcdf/ncx.o netcdf/putget.o netcdf/string.o netcdf/v1hpg.o netcdf/v2i.o netcdf/var.o nl_get_0_routines.fppized.o nl_get_1_routines.fppized.o nl_get_2_routines.fppized.o nl_get_3_routines.fppized.o nl_get_4_routines.fppized.o nl_get_5_routines.fppized.o nl_get_6_routines.fppized.o nl_get_7_routines.fppized.o nl_set_0_routines.fppized.o nl_set_1_routines.fppized.o nl_set_2_routines.fppized.o nl_set_6_routines.fppized.o nl_set_7_routines.fppized.o output_wrf.fppized.o pack_spatial.o pack_utils.o period.o r1f2kb.fppized.o r1f2kf.fppized.o r1f3kb.fppized.o r1f3kf.fpp ized.o r1f4kb.fppized.o r1f4kf.fppized.o r1f5kb.fppized.o r1f5kf.fppized.o r1fgkb.fppized.o r1fgkf.fppized.o r4_factor.fppized.o r4_mcfti1.fppized.o r4_tables.fppized.o r8_factor.fppized.o r8_mcfti1.fppized.o r8_tables.fppized.o read_grib.o reg_parse.o rfft1b.fppized.o rfft1f.fppized.o rfft1i.fppized.o rfftb1.fppized.o rfftf1.fppized.o rffti1.fppized.o rfftmb.fppized.o rfftmf.fppized.o rfftmi.fppized.o rsl_bcast.o set_bytes.o set_timekeeping.fppized.o setfeenv.o sint.fppized.o solve_em.fppized.o solve_interface.fppized.o start_domain.fppized.o start_em.fppized.o symtab_gen.o task_for_point.o track_driver.fppized.o track_input.fppized.o trim.o type.o upd_child_errmsg.o wrf.fppized.o wrf_bdyin.fppized.o wrf_bdyout.fppized.o wrf_debug.fppized.o wrf_ext_read_field.fppized.o wrf_ext_write_field.fppized.o wrf_fddaobs_in.fppized.o wrf_io.fppized.o wrf_num_bytes_between.o wrf_shutdown.fppized.o wrf_timeseries.fppized.o wrf_tsin.fppized.o xercon.fppized.o xerfft.fppized.o z1f2kb.fppized.o z1f2kf.fppized.o z1f3kb.f ppized.o z1f3kf.fppized.o z1f4kb.fppized.o z1f4kf.fppized.o z1f5kb.fppized.o z1f5kf.fppized.o z1fgkb.fppized.o z1fgkf.fppized.o z1fm1b.fppized.o z1fm1f.fppized.o zmf2kb.fppized.o zmf2kf.fppized.o zmf3kb.fppized.o zmf3kf.fppized.o zmf4kb.fppized.o zmf4kf.fppized.o zmf5kb.fppized.o zmf5kf.fppized.o zmfgkb.fppized.o zmfgkf.fppized.o -o wrf_s Stop make.wrf_s command: 2021-07-08 15:00:37 (1625770837.34989) Elapsed time for make.wrf_s command: 00:02:52 (172.489683866501) Issuing options.wrf_s command 'specmake --output-sync --jobs=1 options TARGET=wrf_s' Start options.wrf_s command: 2021-07-08 15:00:37 (1625770837.35328) FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options.wrf_s command: 2021-07-08 15:00:37 (1625770837.51721) Elapsed time for options.wrf_s command: 00:00:00 (0.163931846618652) Issuing compiler-version.wrf_s command 'specmake --output-sync --jobs=1 compiler-version TARGET=wrf_s' Start compiler-version.wrf_s command: 2021-07-08 15:00:37 (1625770837.52109) FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.wrf_s command: 2021-07-08 15:00:37 (1625770837.72181) Elapsed time for compiler-version.wrf_s command: 00:00:00 (0.200716018676758) Compile for '621.wrf_s' ended at: 2021-07-08 15:00:37 (1625770837) Elapsed compile for '621.wrf_s': 00:10:32 (632) FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_s_base.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_validate_627_base.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_s_base.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_validate_627_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 627.cam4_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 15:00:38] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/build/build_base_x86_64-m64.0000/Makefile.cam4_s.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename array_lib.f90)): array_lib.f90 $(addsuffix $(OBJ),$(basename mrgrnk.f90) ) $(addsuffix $(OBJ), $(basename dsd.f90)): dsd.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 math_lib.f90) ) $(addsuffix $(OBJ), $(basename format_input.f90)): format_input.f90 $(addsuffix $(OBJ),$(basename array_lib.f90) ) $(addsuffix $(OBJ), $(basename hirsbt.f90)): hirsbt.f90 $(addsuffix $(OBJ),$(basename hirsbtpar.f90) physconst.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), $(basename load_hydrometeor_classes.f90)): load_hydrometeor_classes.f90 $(addsuffix $(OBJ),$(basename radar_simulator_types.f90) ) $(addsuffix $(OBJ), $(basename math_lib.f90)): math_lib.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 mrgrnk.f90) ) $(addsuffix $(OBJ), $(basename netcdf/netcdf.f90)): netcdf/netcdf.f90 $(addsuffix $(OBJ),$(basename netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), $(basename netcdf/netcdf_test.f90)): netcdf/netcdf_test.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90 netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), $(basename radar_simulator.f90)): radar_simulator.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 math_lib.f90 mrgrnk.f90 optics_lib.f90 radar_simulator_types.f90) ) $(addsuffix $(OBJ), $(basename validate-cam.f90)): validate-cam.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) ) $(addsuffix $(OBJ), $(basename zeff.f90)): zeff.f90 $(addsuffix $(OBJ),$(basename math_lib.f90 optics_lib.f90) ) $(addsuffix $(OBJ), ESMF_AlarmClockMod.fppized): ESMF_AlarmClockMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_ClockMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_AlarmMod.fppized): ESMF_AlarmMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_BaseTimeMod.fppized): ESMF_BaseTimeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized) $(addsuffix $(OBJ), ESMF_CalendarMod.fppized): ESMF_CalendarMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized) $(addsuffix $(OBJ), ESMF_ClockMod.fppized): ESMF_ClockMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_Mod.fppized): ESMF_Mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmClockMod.fppized ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_ClockMod.fppized ESMF_FractionMod.fppized ESMF_Stubs.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_Stubs.fppized): ESMF_Stubs.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_CalendarMod.fppized) $(addsuffix $(OBJ), ESMF_TimeIntervalMod.fppized): ESMF_TimeIntervalMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_FractionMod.fppized) $(addsuffix $(OBJ), ESMF_TimeMod.fppized): ESMF_TimeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_Stubs.fppized ESMF_TimeIntervalMod.fppized) $(addsuffix $(OBJ), FVperf_module.fppized): FVperf_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mod_comm.fppized perf_mod.fppized) $(addsuffix $(OBJ), Meat.fppized): Meat.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_ClockMod.fppized ESMF_FractionMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), abortutils.fppized): abortutils.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized mpishorthand.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), advect_tend.fppized): advect_tend.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized dynamics_vars.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), advnce.fppized): advnce.fppized.f90 $(addsuffix $(OBJ),$(basename ) aerodep_flx.fppized aircraft_emit.fppized aoa_tracers.fppized cam3_aero_data.fppized cam3_ozone_data.fppized camsrfexch_types.fppized chem_surfvals.fppized chemistry.fppized ghg_data.fppized iondrag.fppized perf_mod.fppized phys_buffer.fppized physics_types.fppized ppgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized radheat.fppized radiation.fppized shr_kind_mod.fppized solar_data.fppized tracers.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), aer_rad_props.fppized): aer_rad_props.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized constituents.fppized modal_aer_opt.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized radconstants.fppized shr_kind_mod.fppized wv_saturation.fppized) $(addsuffix $(OBJ), aerodep_flx.fppized): aerodep_flx.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized camsrfexch_types.fppized modal_aero_deposition.fppized mpishorthand.fppized namelist_utils.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), aerosol_intr.fppized): aerosol_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized camsrfexch_types.fppized constituents.fppized drydep_mod.fppized dust_intr.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized progseasalts_intr.fppized scyc.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized wetdep.fppized) $(addsuffix $(OBJ), aircraft_emit.fppized): aircraft_emit.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), alloc_mod.fppized): alloc_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), aoa_tracers.fppized): aoa_tracers.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized dycore.fppized dyn_grid.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), atm_comp_mct.fppized): atm_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized abortutils.fppized cam_comp.fppized cam_control_mod.fppized cam_cpl_indices.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized cam_restart.fppized camsrfexch_types.fppized co2_cycle.fppized constituents.fppized dust_intr.fppized dyn_grid.fppized filenames.fppized ioFileMod.fppized mct_mod.fppized mpishorthand.fppized perf_mod.fppized phys_control.fppized phys_grid.fppized physconst.fppized pio.fppized pmgrid.fppized ppgrid.fppized radiation.fppized runtime_opts.fppized scamMod.fppized seq_cdata_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), benergy.fppized): benergy.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized mod_comm.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), binary_io.fppized): binary_io.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized pmgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized) $(addsuffix $(OBJ), bnddyi.fppized): bnddyi.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), boundarydata.fppized): boundarydata.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized error_messages.fppized infnan.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized physconst.fppized physics_types.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), box_rearrange.fppized): box_rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized calcdisplace_mod.fppized pio_kinds.fppized pio_spmd_utils.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), buffer.fppized): buffer.fppized.f90 $(addsuffix $(OBJ),$(basename ) constituents.fppized infnan.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), calcdecomp.fppized): calcdecomp.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), calcdisplace_mod.fppized): calcdisplace_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized) $(addsuffix $(OBJ), cam3_aero_data.fppized): cam3_aero_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized boundarydata.fppized cam_logfile.fppized error_messages.fppized infnan.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized shr_scam_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), cam3_ozone_data.fppized): cam3_ozone_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized boundarydata.fppized cam_history.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), cam_comp.fppized): cam_comp.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized cam_restart.fppized camsrfexch_types.fppized dycore.fppized dyn_comp.fppized history_defaults.fppized history_scam.fppized infnan.fppized inital.fppized metdata.fppized mpishorthand.fppized perf_mod.fppized phys_buffer.fppized physics_types.fppized physpkg.fppized pmgrid.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized startup_initialconds.fppized stepon.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), cam_control_mod.fppized): cam_control_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), cam_cpl_indices.fppized): cam_cpl_indices.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized) $(addsuffix $(OBJ), cam_diagnostics.fppized): cam_diagnostics.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized buffer.fppized cam_control_mod.fppized cam_history.fppized camsrfexch_types.fppized check_energy.fppized chemistry.fppized co2_cycle.fppized constituent_burden.fppized constituents.fppized dycore.fppized infnan.fppized interpolate_data.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized tidal_diag.fppized time_manager.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cam_history.fppized): cam_history.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history_buffers.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized chem_surfvals.fppized cosp_share.fppized dycore.fppized dyn_grid.fppized filenames.fppized hycoef.fppized interp_mod.fppized ioFileMod.fppized perf_mod.fppized phys_grid.fppized physconst.fppized pio.fppized pmgrid.fppized ppgrid.fppized pspect.fppized rgrid.fppized sat_hist.fppized scamMod.fppized shr_kind_mod.fppized shr_sys_mod.fppized solar_data.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), cam_history_buffers.fppized): cam_history_buffers.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history_support.fppized cam_pio_utils.fppized dyn_grid.fppized phys_grid.fppized physconst.fppized pio.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), cam_history_support.fppized): cam_history_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized pio.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), cam_pio_utils.fppized): cam_pio_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history_support.fppized cam_logfile.fppized dycore.fppized dyn_grid.fppized perf_mod.fppized phys_grid.fppized pio.fppized pio_support.fppized ppgrid.fppized seq_io_mod.fppized shr_kind_mod.fppized shr_string_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), cam_restart.fppized): cam_restart.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized chem_surfvals.fppized dycore.fppized dyn_comp.fppized filenames.fppized infnan.fppized ioFileMod.fppized mpishorthand.fppized phys_grid.fppized pio.fppized pmgrid.fppized ppgrid.fppized radiation.fppized ref_pres.fppized restart_dynamics.fppized restart_physics.fppized rgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), camsrfexch_types.fppized): camsrfexch_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized infnan.fppized phys_grid.fppized ppgrid.fppized seq_drydep_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ccsm_comp_mod.fppized): ccsm_comp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized atm_comp_mct.fppized glc_comp_mct.fppized ice_comp_mct.fppized lnd_comp_mct.fppized map_atmatm_mct.fppized map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_glcglc_mct.fppized map_iceice_mct.fppized map_iceocn_mct.fppized map_lndlnd_mct.fppized map_ocnocn_mct.fppized map_rofocn_mct.fppized map_rofrof_mct.fppized map_snoglc_mct.fppized map_snosno_mct.fppized mct_mod.fppized mrg_x2a_mct.fppized mrg_x2g_mct.fppized mrg_x2i_mct.fppized mrg_x2l_mct.fppized mrg_x2o_mct.fppized mrg_x2s_mct.fppized ocn_comp_mct.fppized perf_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_domain_mct.fppized seq_flds_indices.fppized seq_flux_mct.fppized seq_frac_mct.fppized seq_hist_mod.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_rest_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fp pized shr_kind_mod.fppized shr_map_mod.fppized shr_mem_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_scam_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), ccsm_driver.fppized): ccsm_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized ccsm_comp_mod.fppized perf_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), cd_core.fppized): cd_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized abortutils.fppized cam_logfile.fppized dynamics_vars.fppized fv_control_mod.fppized metdata.fppized mod_comm.fppized mpishorthand.fppized pft_module.fppized shr_kind_mod.fppized spmd_utils.fppized sw_core.fppized) $(addsuffix $(OBJ), check_energy.fppized): check_energy.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized phys_buffer.fppized phys_gmean.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), chem_surfvals.fppized): chem_surfvals.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized error_messages.fppized infnan.fppized interpolate_data.fppized ioFileMod.fppized m_types.fppized mo_flbc.fppized mpishorthand.fppized namelist_utils.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), chemistry.fppized): chemistry.fppized.f90 $(addsuffix $(OBJ),$(basename ) camsrfexch_types.fppized phys_buffer.fppized physics_types.fppized pio.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), cldsav.fppized): cldsav.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), cldwat.fppized): cldwat.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_logfile.fppized comsrf.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_control.fppized phys_grid.fppized pmgrid.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cldwat2m_macro.fppized): cldwat2m_macro.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cloud_fraction.fppized constituents.fppized phys_debug_util.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cldwat2m_micro.fppized): cldwat2m_micro.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized cldwat2m_macro.fppized phys_control.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cloud_diagnostics.fppized): cloud_diagnostics.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_buffer.fppized physics_types.fppized) $(addsuffix $(OBJ), cloud_fraction.fppized): cloud_fraction.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized chemistry.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cloud_rad_props.fppized): cloud_rad_props.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_buffer.fppized physics_types.fppized ppgrid.fppized radconstants.fppized) $(addsuffix $(OBJ), cmparray_mod.fppized): cmparray_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), co2_cycle.fppized): co2_cycle.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized chem_surfvals.fppized co2_data_flux.fppized constituents.fppized mpishorthand.fppized namelist_utils.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), co2_data_flux.fppized): co2_data_flux.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized error_messages.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized phys_grid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), commap.fppized): commap.fppized.f90 $(addsuffix $(OBJ),$(basename ) pmgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), comspe.fppized): comspe.fppized.f90 $(addsuffix $(OBJ),$(basename ) pmgrid.fppized pspect.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), comsrf.fppized): comsrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized infnan.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), constituent_burden.fppized): constituent_burden.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), constituents.fppized): constituents.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized physconst.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), conv_water.fppized): conv_water.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), convect_deep.fppized): convect_deep.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized gw_drag.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized zm_conv_intr.fppized) $(addsuffix $(OBJ), convect_shallow.fppized): convect_shallow.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized hk_conv.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized uw_conv.fppized uwshcu.fppized wv_saturation.fppized zm_conv.fppized) $(addsuffix $(OBJ), cosp.fppized): cosp.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_modis_simulator.fppized cosp_simulator.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_isccp_simulator.fppized): cosp_isccp_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_lidar.fppized): cosp_lidar.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_misr_simulator.fppized): cosp_misr_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_modis_simulator.fppized): cosp_modis_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized modis_simulator.fppized) $(addsuffix $(OBJ), cosp_radar.fppized): cosp_radar.fppized.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 atmos_lib.f90 format_input.f90 math_lib.f90 mrgrnk.f90 optics_lib.f90 radar_simulator_types.f90) cosp_constants.fppized cosp_types.fppized cosp_utils.fppized) $(addsuffix $(OBJ), cosp_share.fppized): cosp_share.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), cosp_simulator.fppized): cosp_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_isccp_simulator.fppized cosp_lidar.fppized cosp_misr_simulator.fppized cosp_modis_simulator.fppized cosp_radar.fppized cosp_stats.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_stats.fppized): cosp_stats.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized llnl_stats.fppized lmd_ipsl_stats.fppized) $(addsuffix $(OBJ), cosp_types.fppized): cosp_types.fppized.f90 $(addsuffix $(OBJ),$(basename radar_simulator_types.f90) cosp_constants.fppized cosp_utils.fppized) $(addsuffix $(OBJ), cosp_utils.fppized): cosp_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized) $(addsuffix $(OBJ), cospsimulator_intr.fppized): cospsimulator_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized cam_pio_utils.fppized camsrfexch_types.fppized cmparray_mod.fppized constituents.fppized cosp.fppized cosp_constants.fppized cosp_modis_simulator.fppized cosp_share.fppized cosp_types.fppized error_messages.fppized interpolate_data.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cpslec.fppized): cpslec.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ctem.fppized): ctem.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized dynamics_vars.fppized hycoef.fppized interpolate_data.fppized mpishorthand.fppized namelist_utils.fppized parutilitiesmodule.fppized physconst.fppized pmgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), d2a3dijk.fppized): d2a3dijk.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), d2a3dikj.fppized): d2a3dikj.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized perf_mod.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), dadadj.fppized): dadadj.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_logfile.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), debugutilitiesmodule.fppized): debugutilitiesmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized) $(addsuffix $(OBJ), decompmodule.fppized): decompmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized debugutilitiesmodule.fppized) $(addsuffix $(OBJ), diag_dynvar_ic.fppized): diag_dynvar_ic.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized dynamics_vars.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), diag_module.fppized): diag_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mod_comm.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), diffusion_solver.fppized): diffusion_solver.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized phys_control.fppized phys_debug_util.fppized time_manager.fppized) $(addsuffix $(OBJ), dp_coupling.fppized): dp_coupling.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized check_energy.fppized constituents.fppized ctem.fppized dyn_comp.fppized dynamics_vars.fppized geopotential.fppized metdata.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized rgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized spmd_dyn.fppized) $(addsuffix $(OBJ), dryairm.fppized): dryairm.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized cam_logfile.fppized constituents.fppized dynamics_vars.fppized mean_module.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), drydep_mod.fppized): drydep_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), dust_intr.fppized): dust_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerodep_flx.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized constituents.fppized drydep_mod.fppized dust_sediment_mod.fppized error_function.fppized interpolate_data.fppized ioFileMod.fppized mo_constants.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized wetdep.fppized) $(addsuffix $(OBJ), dust_sediment_mod.fppized): dust_sediment_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), dycore.fppized): dycore.fppized.f90 $(addsuffix $(OBJ),$(basename ) pmgrid.fppized) $(addsuffix $(OBJ), dyn_comp.fppized): dyn_comp.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized ctem.fppized diag_module.fppized dycore.fppized dynamics_vars.fppized fv_control_mod.fppized hycoef.fppized infnan.fppized metdata.fppized mod_comm.fppized mpishorthand.fppized parutilitiesmodule.fppized perf_mod.fppized pfixer.fppized phys_control.fppized physconst.fppized pio.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), dyn_grid.fppized): dyn_grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized commap.fppized hycoef.fppized physconst.fppized pmgrid.fppized rgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized) $(addsuffix $(OBJ), dyn_internal_state.fppized): dyn_internal_state.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized) $(addsuffix $(OBJ), dynamics_vars.fppized): dynamics_vars.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized decompmodule.fppized fv_control_mod.fppized ghostmodule.fppized mod_comm.fppized mpishorthand.fppized parutilitiesmodule.fppized pft_module.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), eddy_diff.fppized): eddy_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized diffusion_solver.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized ppgrid.fppized time_manager.fppized) $(addsuffix $(OBJ), epvd.fppized): epvd.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mapz_module.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), error_messages.fppized): error_messages.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) abortutils.fppized cam_logfile.fppized) $(addsuffix $(OBJ), esinti.fppized): esinti.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized wv_saturation.fppized) $(addsuffix $(OBJ), fft99.fppized): fft99.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), filenames.fppized): filenames.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), fill_module.fppized): fill_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), flux_avg.fppized): flux_avg.fppized.f90 $(addsuffix $(OBJ),$(basename ) camsrfexch_types.fppized phys_buffer.fppized phys_debug.fppized phys_grid.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), fv_control_mod.fppized): fv_control_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), fv_prints.fppized): fv_prints.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized camsrfexch_types.fppized constituents.fppized dynamics_vars.fppized mpishorthand.fppized parutilitiesmodule.fppized perf_mod.fppized phys_gmean.fppized phys_grid.fppized physics_types.fppized ppgrid.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), gauaw_mod.fppized): gauaw_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), geopk.fppized): geopk.fppized.f90 $(addsuffix $(OBJ),$(basename ) decompmodule.fppized dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized spmd_dyn.fppized) $(addsuffix $(OBJ), geopotential.fppized): geopotential.fppized.f90 $(addsuffix $(OBJ),$(basename ) dycore.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), gffgch.fppized): gffgch.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized physconst.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ghg_data.fppized): ghg_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized chem_surfvals.fppized error_messages.fppized phys_buffer.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ghostmodule.fppized): ghostmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) debugutilitiesmodule.fppized decompmodule.fppized) $(addsuffix $(OBJ), glc_comp_mct.fppized): glc_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), gw_drag.fppized): gw_drag.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_control.fppized phys_grid.fppized physics_types.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), hb_diff.fppized): hb_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized phys_debug.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), history_defaults.fppized): history_defaults.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized dycore.fppized phys_control.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), history_scam.fppized): history_scam.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized pmgrid.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), hk_conv.fppized): hk_conv.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), horizontal_interpolate.fppized): horizontal_interpolate.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized scamMod.fppized shr_const_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), hycoef.fppized): hycoef.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized pio.fppized pmgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), ice_comp_mct.fppized): ice_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), infnan.fppized): infnan.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), inidat.fppized): inidat.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerosol_intr.fppized aoa_tracers.fppized cam_control_mod.fppized cam_history_support.fppized cam_logfile.fppized chemistry.fppized co2_cycle.fppized commap.fppized constituents.fppized dyn_comp.fppized dyn_grid.fppized dyn_internal_state.fppized dynamics_vars.fppized fv_control_mod.fppized microp_driver.fppized mod_comm.fppized mpishorthand.fppized ncdio_atm.fppized phys_control.fppized phys_grid.fppized physconst.fppized pio.fppized pmgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized stratiform.fppized tracers.fppized) $(addsuffix $(OBJ), inital.fppized): inital.fppized.f90 $(addsuffix $(OBJ),$(basename ) chem_surfvals.fppized dyn_comp.fppized dyn_internal_state.fppized dynamics_vars.fppized phys_grid.fppized ref_pres.fppized shr_kind_mod.fppized startup_initialconds.fppized) $(addsuffix $(OBJ), initcom.fppized): initcom.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized commap.fppized physconst.fppized pmgrid.fppized pspect.fppized rgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), initindx.fppized): initindx.fppized.f90 $(addsuffix $(OBJ),$(basename ) aerosol_intr.fppized aircraft_emit.fppized aoa_tracers.fppized cam3_aero_data.fppized cam3_ozone_data.fppized cam_control_mod.fppized cam_diagnostics.fppized check_energy.fppized chemistry.fppized co2_cycle.fppized constituents.fppized conv_water.fppized convect_deep.fppized convect_shallow.fppized flux_avg.fppized ghg_data.fppized gw_drag.fppized iondrag.fppized ionosphere.fppized macrop_driver.fppized microp_driver.fppized phys_buffer.fppized phys_control.fppized physconst.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized radiation.fppized shr_kind_mod.fppized spmd_utils.fppized sslt_rebin.fppized stratiform.fppized string_utils.fppized tracers.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), interp_mod.fppized): interp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized pio.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), interpolate_data.fppized): interpolate_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), intp_util.fppized): intp_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized phys_grid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ioFileMod.fppized): ioFileMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), iompi_mod.fppized): iompi_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), iondrag.fppized): iondrag.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized phys_buffer.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ionf_mod.fppized): ionf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), ionosphere.fppized): ionosphere.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized phys_buffer.fppized physics_types.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), iop_surf.fppized): iop_surf.fppized.f90 $(addsuffix $(OBJ),$(basename ) camsrfexch_types.fppized physconst.fppized ppgrid.fppized scamMod.fppized) $(addsuffix $(OBJ), llnl_stats.fppized): llnl_stats.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized) $(addsuffix $(OBJ), lmd_ipsl_stats.fppized): lmd_ipsl_stats.fppized.f90 $(addsuffix $(OBJ),$(basename ) llnl_stats.fppized) $(addsuffix $(OBJ), lnd_comp_mct.fppized): lnd_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), m_Accumulator.fppized): m_Accumulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_die.fppized m_mall.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AccumulatorComms.fppized): m_AccumulatorComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_Accumulator.fppized m_AttrVect.fppized m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVect.fppized): m_AttrVect.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_List.fppized m_SortingTools.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_mall.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVectComms.fppized): m_AttrVectComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_FcComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVectReduce.fppized): m_AttrVectReduce.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ConvertMaps.fppized): m_ConvertMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalMap.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_SortingTools.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ExchangeMaps.fppized): m_ExchangeMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_ConvertMaps.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_FcComms.fppized): m_FcComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mpif90.fppized m_realkinds.fppized) $(addsuffix $(OBJ), m_FileResolv.fppized): m_FileResolv.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_StrTemplate.fppized m_die.fppized) $(addsuffix $(OBJ), m_GeneralGrid.fppized): m_GeneralGrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GeneralGridComms.fppized): m_GeneralGridComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVectComms.fppized m_GeneralGrid.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalMap.fppized): m_GlobalMap.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalSegMap.fppized): m_GlobalSegMap.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_FcComms.fppized m_MCTWorld.fppized m_SortingTools.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalSegMapComms.fppized): m_GlobalSegMapComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalToLocal.fppized): m_GlobalToLocal.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalMap.fppized m_GlobalSegMap.fppized m_Navigator.fppized m_SparseMatrix.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_char.fppized): m_IndexBin_char.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_integer.fppized): m_IndexBin_integer.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_logical.fppized): m_IndexBin_logical.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_List.fppized): m_List.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_String.fppized m_die.fppized m_mall.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MCTWorld.fppized): m_MCTWorld.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_List.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MatAttrVectMul.fppized): m_MatAttrVectMul.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_Rearranger.fppized m_SparseMatrix.fppized m_SparseMatrixPlus.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Merge.fppized): m_Merge.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_GeneralGrid.fppized m_List.fppized m_String.fppized m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MergeSorts.fppized): m_MergeSorts.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Navigator.fppized): m_Navigator.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mall.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Permuter.fppized): m_Permuter.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_realkinds.fppized) $(addsuffix $(OBJ), m_Rearranger.fppized): m_Rearranger.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_Router.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Router.fppized): m_Router.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_ExchangeMaps.fppized m_GlobalSegMap.fppized m_GlobalToLocal.fppized m_MCTWorld.fppized m_MergeSorts.fppized m_Permuter.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SortingTools.fppized): m_SortingTools.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_IndexBin_char.fppized m_IndexBin_integer.fppized m_IndexBin_logical.fppized m_MergeSorts.fppized m_Permuter.fppized m_rankMerge.fppized) $(addsuffix $(OBJ), m_SparseMatrix.fppized): m_SparseMatrix.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixComms.fppized): m_SparseMatrixComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_SparseMatrixDecomp.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixDecomp.fppized): m_SparseMatrixDecomp.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_die.fppized) $(addsuffix $(OBJ), m_SparseMatrixPlus.fppized): m_SparseMatrixPlus.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_GlobalToLocal.fppized m_List.fppized m_Rearranger.fppized m_SparseMatrix.fppized m_SparseMatrixComms.fppized m_SparseMatrixToMaps.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixToMaps.fppized): m_SparseMatrixToMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SpatialIntegral.fppized): m_SpatialIntegral.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectReduce.fppized m_GeneralGrid.fppized m_List.fppized m_SpatialIntegralV.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SpatialIntegralV.fppized): m_SpatialIntegralV.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectReduce.fppized m_List.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_StrTemplate.fppized): m_StrTemplate.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_String.fppized): m_String.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mall.fppized m_mpif90.fppized) $(addsuffix $(OBJ), m_TraceBack.fppized): m_TraceBack.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_String.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Transfer.fppized): m_Transfer.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_MCTWorld.fppized m_Router.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_die.fppized): m_die.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_dropdead.fppized m_flow.fppized m_mpif90.fppized m_mpout.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_dropdead.fppized): m_dropdead.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_flow.fppized): m_flow.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized) $(addsuffix $(OBJ), m_inpak90.fppized): m_inpak90.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_ioutil.fppized m_mall.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ioutil.fppized): m_ioutil.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_stdio.fppized) $(addsuffix $(OBJ), m_mall.fppized): m_mall.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized m_die.fppized m_ioutil.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_mpif90.fppized): m_mpif90.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_mpif.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_mpout.fppized): m_mpout.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_dropdead.fppized m_ioutil.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_rankMerge.fppized): m_rankMerge.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_realkinds.fppized) $(addsuffix $(OBJ), m_zeit.fppized): m_zeit.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_SortingTools.fppized m_die.fppized m_ioutil.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), macrop_driver.fppized): macrop_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized cldwat.fppized cldwat2m_macro.fppized cloud_fraction.fppized constituents.fppized convect_shallow.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), majorsp_diffusion.fppized): majorsp_diffusion.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), map_atmatm_mct.fppized): map_atmatm_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmice_mct.fppized): map_atmice_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_map_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmlnd_mct.fppized): map_atmlnd_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_map_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmocn_mct.fppized): map_atmocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_map_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_glcglc_mct.fppized): map_glcglc_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_iceice_mct.fppized): map_iceice_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_iceocn_mct.fppized): map_iceocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_lndlnd_mct.fppized): map_lndlnd_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_ocnocn_mct.fppized): map_ocnocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_rofocn_mct.fppized): map_rofocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_rofrof_mct.fppized): map_rofrof_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_snoglc_mct.fppized): map_snoglc_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_snosno_mct.fppized): map_snosno_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), mapz_module.fppized): mapz_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), marsaglia.fppized): marsaglia.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), mcshallow.fppized): mcshallow.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized error_function.fppized ppgrid.fppized) $(addsuffix $(OBJ), mct_mod.fppized): mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_Accumulator.fppized m_AttrVect.fppized m_AttrVectComms.fppized m_GeneralGrid.fppized m_GeneralGridComms.fppized m_GlobalSegMap.fppized m_GlobalSegMapComms.fppized m_GlobalToLocal.fppized m_List.fppized m_MCTWorld.fppized m_MatAttrVectMul.fppized m_MergeSorts.fppized m_Permuter.fppized m_Rearranger.fppized m_Router.fppized m_SparseMatrix.fppized m_SparseMatrixComms.fppized m_SparseMatrixPlus.fppized m_SparseMatrixToMaps.fppized m_String.fppized m_Transfer.fppized m_die.fppized m_inpak90.fppized m_stdio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), mct_rearrange.fppized): mct_rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized m_AttrVect.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_Rearranger.fppized pio_kinds.fppized pio_quicksort.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), mean_module.fppized): mean_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized commap.fppized dynamics_vars.fppized parutilitiesmodule.fppized perf_mod.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), metdata.fppized): metdata.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized dynamics_vars.fppized hycoef.fppized infnan.fppized ioFileMod.fppized mod_comm.fppized mpishorthand.fppized ncdio_atm.fppized perf_mod.fppized phys_grid.fppized physics_types.fppized pio.fppized pmgrid.fppized ppgrid.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), microp_aero.fppized): microp_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cldwat2m_macro.fppized constituents.fppized error_function.fppized ndrop.fppized phys_control.fppized physconst.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized spmd_utils.fppized wv_saturation.fppized) $(addsuffix $(OBJ), microp_driver.fppized): microp_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cldwat.fppized cldwat2m_macro.fppized cldwat2m_micro.fppized constituents.fppized conv_water.fppized convect_shallow.fppized dycore.fppized microp_aero.fppized ndrop.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), mo_constants.fppized): mo_constants.fppized.f90 $(addsuffix $(OBJ),$(basename ) physconst.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mo_flbc.fppized): mo_flbc.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized commap.fppized constituents.fppized interpolate_data.fppized ioFileMod.fppized m_types.fppized mo_constants.fppized phys_gmean.fppized phys_grid.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized time_utils.fppized) $(addsuffix $(OBJ), mo_msis_ubc.fppized): mo_msis_ubc.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized phys_grid.fppized physconst.fppized pmgrid.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), mo_regrider.fppized): mo_regrider.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized dycore.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mo_solar_parms.fppized): mo_solar_parms.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized error_messages.fppized ioFileMod.fppized pio.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized time_utils.fppized) $(addsuffix $(OBJ), mo_util.fppized): mo_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), mod_comm.fppized): mod_comm.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized perf_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), modal_aer_opt.fppized): modal_aer_opt.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized constituents.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized rad_constituents.fppized radconstants.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), modal_aero_deposition.fppized): modal_aero_deposition.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized camsrfexch_types.fppized constituents.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), modis_simulator.fppized): modis_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cosp_constants.fppized) $(addsuffix $(OBJ), molec_diff.fppized): molec_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized infnan.fppized perf_mod.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized upper_bc.fppized) $(addsuffix $(OBJ), mrg_x2a_mct.fppized): mrg_x2a_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2g_mct.fppized): mrg_x2g_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2i_mct.fppized): mrg_x2i_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2l_mct.fppized): mrg_x2l_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2o_mct.fppized): mrg_x2o_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2s_mct.fppized): mrg_x2s_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), msise00.fppized): msise00.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), namelist_utils.fppized): namelist_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) string_utils.fppized) $(addsuffix $(OBJ), ncdio_atm.fppized): ncdio_atm.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized constituents.fppized dycore.fppized dyn_grid.fppized phys_grid.fppized pio.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized shr_scam_mod.fppized shr_sys_mod.fppized spmd_utils.fppized string_utils.fppized xpavg_mod.fppized) $(addsuffix $(OBJ), ndrop.fppized): ndrop.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized error_function.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized wv_saturation.fppized) $(addsuffix $(OBJ), nf_mod.fppized): nf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), ocn_comp.fppized): ocn_comp.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized ocn_types.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ocn_comp_mct.fppized): ocn_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized ocn_comp.fppized ocn_types.fppized perf_mod.fppized phys_grid.fppized physconst.fppized ppgrid.fppized seq_cdata_mod.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), ocn_types.fppized): ocn_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), p_d_adjust.fppized): p_d_adjust.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized parutilitiesmodule.fppized perf_mod.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), par_vecsum.fppized): par_vecsum.fppized.f90 $(addsuffix $(OBJ),$(basename ) parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), par_xsum.fppized): par_xsum.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized cam_logfile.fppized dynamics_vars.fppized parutilitiesmodule.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), param_cldoptics.fppized): param_cldoptics.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized conv_water.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pkg_cldoptics.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), parutilitiesmodule.fppized): parutilitiesmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized debugutilitiesmodule.fppized decompmodule.fppized ghostmodule.fppized mod_comm.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), perf_mod.fppized): perf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) namelist_utils.fppized perf_utils.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), pfixer.fppized): pfixer.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized commap.fppized dynamics_vars.fppized hycoef.fppized metdata.fppized mod_comm.fppized mpishorthand.fppized parutilitiesmodule.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), pft_module.fppized): pft_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) fv_control_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), phys_buffer.fppized): phys_buffer.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized dyn_grid.fppized infnan.fppized mpishorthand.fppized phys_grid.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized) $(addsuffix $(OBJ), phys_control.fppized): phys_control.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), phys_debug.fppized): phys_debug.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized camsrfexch_types.fppized constituents.fppized phys_debug_util.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), phys_debug_util.fppized): phys_debug_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), phys_gmean.fppized): phys_gmean.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized dycore.fppized dyn_grid.fppized mpishorthand.fppized perf_mod.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized repro_sum_mod.fppized rgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), phys_grid.fppized): phys_grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized dycore.fppized dyn_grid.fppized m_MergeSorts.fppized mod_comm.fppized mpishorthand.fppized perf_mod.fppized physconst.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized) $(addsuffix $(OBJ), phys_prop.fppized): phys_prop.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized ioFileMod.fppized pio.fppized radconstants.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), physconst.fppized): physconst.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized ppgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), physics_types.fppized): physics_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized dycore.fppized geopotential.fppized infnan.fppized phys_control.fppized phys_grid.fppized physconst.fppized pmgrid.fppized ppgrid.fppized scamMod.fppized shr_const_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), physpkg.fppized): physpkg.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aer_rad_props.fppized aerodep_flx.fppized aerosol_intr.fppized aircraft_emit.fppized aoa_tracers.fppized buffer.fppized cam3_aero_data.fppized cam3_ozone_data.fppized cam_control_mod.fppized cam_diagnostics.fppized cam_history.fppized cam_logfile.fppized camsrfexch_types.fppized check_energy.fppized chemistry.fppized cldwat.fppized cloud_diagnostics.fppized cloud_fraction.fppized cloud_rad_props.fppized co2_cycle.fppized comsrf.fppized constituents.fppized conv_water.fppized convect_deep.fppized convect_shallow.fppized dycore.fppized flux_avg.fppized gw_drag.fppized infnan.fppized iondrag.fppized ionosphere.fppized macrop_driver.fppized majorsp_diffusion.fppized metdata.fppized microp_driver.fppized mpishorthand.fppized ncdio_atm.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized phys_gmean.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio. fppized polar_avg.fppized ppgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized rad_constituents.fppized rad_solar_var.fppized radheat.fppized radiation.fppized rayleigh_friction.fppized ref_pres.fppized scamMod.fppized shr_kind_mod.fppized solar_data.fppized spmd_utils.fppized sslt_rebin.fppized startup_initialconds.fppized stratiform.fppized time_manager.fppized tracers.fppized tropopause.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), pio.fppized): pio.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized pio_kinds.fppized pio_types.fppized piodarray.fppized piolib_mod.fppized pionfatt_mod.fppized pionfget_mod.fppized pionfput_mod.fppized) $(addsuffix $(OBJ), pio_kinds.fppized): pio_kinds.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) ) $(addsuffix $(OBJ), pio_mpi_utils.fppized): pio_mpi_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), pio_msg_callbacks.fppized): pio_msg_callbacks.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized piolib_mod.fppized) $(addsuffix $(OBJ), pio_msg_getput_callbacks.fppized): pio_msg_getput_callbacks.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized) $(addsuffix $(OBJ), pio_msg_mod.fppized): pio_msg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), pio_nf_utils.fppized): pio_nf_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pionfget_mod.fppized pionfput_mod.fppized) $(addsuffix $(OBJ), pio_quicksort.fppized): pio_quicksort.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_spmd_utils.fppized): pio_spmd_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized) $(addsuffix $(OBJ), pio_support.fppized): pio_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_types.fppized): pio_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_utils.fppized): pio_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), piodarray.fppized): piodarray.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized iompi_mod.fppized perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pionfread_mod.fppized pionfwrite_mod.fppized rearrange.fppized) $(addsuffix $(OBJ), piolib_mod.fppized): piolib_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized calcdecomp.fppized calcdisplace_mod.fppized iompi_mod.fppized ionf_mod.fppized perf_mod.fppized pio_kinds.fppized pio_mpi_utils.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pionfread_mod.fppized pionfwrite_mod.fppized rearrange.fppized) $(addsuffix $(OBJ), pionfatt_mod.fppized): pionfatt_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfget_mod.fppized): pionfget_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfput_mod.fppized): pionfput_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfread_mod.fppized): pionfread_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfwrite_mod.fppized): pionfwrite_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized nf_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pkez.fppized): pkez.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), pkg_cld_sediment.fppized): pkg_cld_sediment.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cldwat.fppized mpishorthand.fppized namelist_utils.fppized physconst.fppized pkg_cldoptics.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), pkg_cldoptics.fppized): pkg_cldoptics.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_control.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), pmgrid.fppized): pmgrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) decompmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), polar_avg.fppized): polar_avg.fppized.f90 $(addsuffix $(OBJ),$(basename ) dycore.fppized dyn_grid.fppized mpishorthand.fppized phys_grid.fppized ppgrid.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), prescribed_aero.fppized): prescribed_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), prescribed_ghg.fppized): prescribed_ghg.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), prescribed_ozone.fppized): prescribed_ozone.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), prescribed_volcaero.fppized): prescribed_volcaero.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized tropopause.fppized units.fppized) $(addsuffix $(OBJ), progseasalts_intr.fppized): progseasalts_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized constituents.fppized drydep_mod.fppized dust_sediment_mod.fppized mo_constants.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized wetdep.fppized wv_saturation.fppized) $(addsuffix $(OBJ), puminterfaces.fppized): puminterfaces.fppized.f90 $(addsuffix $(OBJ),$(basename ) decompmodule.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), qneg3.fppized): qneg3.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), qneg4.fppized): qneg4.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), quicksort.fppized): quicksort.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), rad_constituents.fppized): rad_constituents.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_prop.fppized physconst.fppized physics_types.fppized ppgrid.fppized radconstants.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), rad_solar_var.fppized): rad_solar_var.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized mo_util.fppized radconstants.fppized shr_kind_mod.fppized solar_data.fppized) $(addsuffix $(OBJ), radae.fppized): radae.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized filenames.fppized infnan.fppized ioFileMod.fppized phys_control.fppized pio.fppized pmgrid.fppized ppgrid.fppized radconstants.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), radconstants.fppized): radconstants.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), radheat.fppized): radheat.fppized.f90 $(addsuffix $(OBJ),$(basename ) chemistry.fppized phys_buffer.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), radiation.fppized): radiation.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aer_rad_props.fppized cam_control_mod.fppized cam_history.fppized cam_history_support.fppized cam_logfile.fppized camsrfexch_types.fppized cosp_share.fppized cospsimulator_intr.fppized error_messages.fppized interpolate_data.fppized param_cldoptics.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized pspect.fppized rad_constituents.fppized radae.fppized radconstants.fppized radheat.fppized radiation_data.fppized radlw.fppized radsw.fppized scamMod.fppized shr_kind_mod.fppized shr_orb_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), radiation_data.fppized): radiation_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized camsrfexch_types.fppized constituents.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), radlw.fppized): radlw.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized phys_control.fppized ppgrid.fppized quicksort.fppized radae.fppized radconstants.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), radsw.fppized): radsw.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cmparray_mod.fppized phys_control.fppized ppgrid.fppized quicksort.fppized rad_solar_var.fppized radconstants.fppized scamMod.fppized shr_kind_mod.fppized solar_data.fppized) $(addsuffix $(OBJ), rayleigh_friction.fppized): rayleigh_friction.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), readinitial.fppized): readinitial.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized pio.fppized pmgrid.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), rearrange.fppized): rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) box_rearrange.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), redistributemodule.fppized): redistributemodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) debugutilitiesmodule.fppized decompmodule.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ref_pres.fppized): ref_pres.fppized.f90 $(addsuffix $(OBJ),$(basename ) dyn_grid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), repro_sum_mod.fppized): repro_sum_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), restart_dynamics.fppized): restart_dynamics.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized constituents.fppized dyn_comp.fppized dyn_grid.fppized dyn_internal_state.fppized dynamics_vars.fppized fv_control_mod.fppized hycoef.fppized metdata.fppized pio.fppized pmgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), restart_physics.fppized): restart_physics.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized buffer.fppized cam_control_mod.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized chemistry.fppized co2_cycle.fppized comsrf.fppized constituents.fppized cospsimulator_intr.fppized dyn_grid.fppized ioFileMod.fppized phys_buffer.fppized phys_grid.fppized pio.fppized ppgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized radae.fppized radiation.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), rgrid.fppized): rgrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) infnan.fppized pmgrid.fppized pspect.fppized) $(addsuffix $(OBJ), runtime_opts.fppized): runtime_opts.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerodep_flx.fppized aircraft_emit.fppized aoa_tracers.fppized cam3_aero_data.fppized cam3_ozone_data.fppized cam_control_mod.fppized cam_diagnostics.fppized cam_history.fppized cam_logfile.fppized cam_restart.fppized check_energy.fppized chem_surfvals.fppized chemistry.fppized cldwat.fppized cloud_fraction.fppized co2_cycle.fppized constituents.fppized cospsimulator_intr.fppized dycore.fppized filenames.fppized gw_drag.fppized hk_conv.fppized iondrag.fppized metdata.fppized modal_aer_opt.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized phys_grid.fppized physconst.fppized pkg_cld_sediment.fppized pmgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized pspect.fppized rad_constituents.fppized radheat.fppized radiation.fppized radiation_data.fppized rayleigh_friction. fppized repro_sum_mod.fppized rgrid.fppized sat_hist.fppized scamMod.fppized shr_kind_mod.fppized shr_string_mod.fppized solar_data.fppized spmd_utils.fppized time_manager.fppized tracers.fppized tropopause.fppized units.fppized uwshcu.fppized zm_conv.fppized) $(addsuffix $(OBJ), sat_hist.fppized): sat_hist.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized dyn_grid.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_grid.fppized physconst.fppized pio.fppized pionfwrite_mod.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), scamMod.fppized): scamMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized pmgrid.fppized shr_kind_mod.fppized shr_scam_mod.fppized wrap_nf.fppized) $(addsuffix $(OBJ), scyc.fppized): scyc.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized) $(addsuffix $(OBJ), seq_avdata_mod.fppized): seq_avdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), seq_cdata_mod.fppized): seq_cdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_comm_mct.fppized): seq_comm_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized shr_file_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_diag_mct.fppized): seq_diag_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_domain_mct.fppized): seq_domain_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_iceocn_mct.fppized map_snoglc_mct.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_drydep_mod.fppized): seq_drydep_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flds_indices.fppized): seq_flds_indices.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flds_mod.fppized): seq_flds_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flux_mct.fppized): seq_flux_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized shr_flux_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_orb_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_frac_mct.fppized): seq_frac_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_iceocn_mct.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_hist_mod.fppized): seq_hist_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_infodata_mod.fppized): seq_infodata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) seq_comm_mct.fppized seq_io_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_io_mod.fppized): seq_io_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized seq_comm_mct.fppized seq_flds_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_map_mod.fppized): seq_map_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_comm_mct.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_rearr_mod.fppized): seq_rearr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_flds_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_rest_mod.fppized): seq_rest_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_timemgr_mod.fppized): seq_timemgr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized seq_comm_mct.fppized seq_io_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), sgexx.fppized): sgexx.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_cal_mod.fppized): shr_cal_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_const_mod.fppized): shr_const_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_dmodel_mod.fppized): shr_dmodel_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized perf_mod.fppized pio.fppized seq_flds_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_map_mod.fppized shr_mpi_mod.fppized shr_ncread_mod.fppized shr_stream_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_file_mod.fppized): shr_file_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_flux_mod.fppized): shr_flux_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_control.fppized physconst.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_infnan_mod.fppized): shr_infnan_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_log_mod.fppized): shr_log_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_map_mod.fppized): shr_map_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized shr_timer_mod.fppized) $(addsuffix $(OBJ), shr_mct_mod.fppized): shr_mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_pcdf_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_mem_mod.fppized): shr_mem_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), shr_mpi_mod.fppized): shr_mpi_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), shr_msg_mod.fppized): shr_msg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_file_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_ncread_mod.fppized): shr_ncread_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_orb_mod.fppized): shr_orb_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_pcdf_mod.fppized): shr_pcdf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_scam_mod.fppized): shr_scam_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_ncread_mod.fppized shr_strdata_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_strdata_mod.fppized): shr_strdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized perf_mod.fppized pio.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_map_mod.fppized shr_mct_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_stream_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized shr_tInterp_mod.fppized) $(addsuffix $(OBJ), shr_stream_mod.fppized): shr_stream_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_string_mod.fppized): shr_string_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized shr_timer_mod.fppized) $(addsuffix $(OBJ), shr_sys_mod.fppized): shr_sys_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized) $(addsuffix $(OBJ), shr_tInterp_mod.fppized): shr_tInterp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_cal_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_orb_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_timer_mod.fppized): shr_timer_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_vmath_mod.fppized): shr_vmath_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), solar_data.fppized): solar_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized infnan.fppized ioFileMod.fppized namelist_utils.fppized physconst.fppized pio.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), spmd_dyn.fppized): spmd_dyn.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized decompmodule.fppized fv_control_mod.fppized ghostmodule.fppized infnan.fppized mpishorthand.fppized namelist_utils.fppized parutilitiesmodule.fppized pmgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), spmd_utils.fppized): spmd_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized shr_kind_mod.fppized units.fppized) $(addsuffix $(OBJ), srchutil.fppized): srchutil.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), srfxfer.fppized): srfxfer.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized cam_history.fppized camsrfexch_types.fppized chem_surfvals.fppized co2_cycle.fppized comsrf.fppized constituents.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), sslt_rebin.fppized): sslt_rebin.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized phys_buffer.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), startup_initialconds.fppized): startup_initialconds.fppized.f90 $(addsuffix $(OBJ),$(basename ) buffer.fppized cam_pio_utils.fppized comsrf.fppized dyn_comp.fppized filenames.fppized history_defaults.fppized inidat.fppized ioFileMod.fppized phys_buffer.fppized pio.fppized radae.fppized readinitial.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), stepon.fppized): stepon.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized advect_tend.fppized cam_control_mod.fppized cam_logfile.fppized camsrfexch_types.fppized commap.fppized constituents.fppized dp_coupling.fppized dyn_comp.fppized dyn_internal_state.fppized dynamics_vars.fppized fv_control_mod.fppized fv_prints.fppized hycoef.fppized mpishorthand.fppized perf_mod.fppized phys_buffer.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), stratiform.fppized): stratiform.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized chemistry.fppized cldwat.fppized cldwat2m_macro.fppized cldwat2m_micro.fppized cloud_fraction.fppized constituents.fppized convect_shallow.fppized dycore.fppized microp_aero.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized physics_types.fppized pkg_cld_sediment.fppized pkg_cldoptics.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), sulchem.fppized): sulchem.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized perf_mod.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), sw_core.fppized): sw_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized pft_module.fppized shr_kind_mod.fppized tp_core.fppized) $(addsuffix $(OBJ), te_map.fppized): te_map.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized mapz_module.fppized mod_comm.fppized phys_control.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), tidal_diag.fppized): tidal_diag.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized physics_types.fppized ppgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), time_manager.fppized): time_manager.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized abortutils.fppized cam_logfile.fppized dycore.fppized mpishorthand.fppized pio.fppized shr_cal_mod.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized) $(addsuffix $(OBJ), time_utils.fppized): time_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), tp_core.fppized): tp_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), tphysac.fppized): tphysac.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerosol_intr.fppized aoa_tracers.fppized cam_control_mod.fppized cam_diagnostics.fppized cam_logfile.fppized camsrfexch_types.fppized check_energy.fppized chemistry.fppized constituents.fppized dycore.fppized flux_avg.fppized gw_drag.fppized iondrag.fppized ionosphere.fppized majorsp_diffusion.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized rayleigh_friction.fppized shr_kind_mod.fppized time_manager.fppized tracers.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), tphysbc.fppized): tphysbc.fppized.f90 $(addsuffix $(OBJ),$(basename ) aerosol_intr.fppized cam_diagnostics.fppized cam_history.fppized camsrfexch_types.fppized check_energy.fppized cloud_diagnostics.fppized constituents.fppized convect_deep.fppized convect_shallow.fppized dycore.fppized macrop_driver.fppized microp_driver.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized radiation.fppized shr_kind_mod.fppized sslt_rebin.fppized stratiform.fppized time_manager.fppized tropopause.fppized) $(addsuffix $(OBJ), tphysidl.fppized): tphysidl.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized check_energy.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), trac2d.fppized): trac2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized dynamics_vars.fppized fill_module.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized tp_core.fppized) $(addsuffix $(OBJ), tracer_data.fppized): tracer_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_logfile.fppized cam_pio_utils.fppized dycore.fppized dyn_grid.fppized horizontal_interpolate.fppized interpolate_data.fppized ioFileMod.fppized mo_constants.fppized mo_util.fppized mpishorthand.fppized perf_mod.fppized phys_buffer.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio.fppized polar_avg.fppized ppgrid.fppized ref_pres.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), tracers.fppized): tracers.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized constituents.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized tracers_suite.fppized) $(addsuffix $(OBJ), tracers_suite.fppized): tracers_suite.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), trb_mtn_stress.fppized): trb_mtn_stress.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), tropopause.fppized): tropopause.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized dyn_grid.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), tsinti.fppized): tsinti.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), units.fppized): units.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized shr_file_mod.fppized) $(addsuffix $(OBJ), upper_bc.fppized): upper_bc.fppized.f90 $(addsuffix $(OBJ),$(basename ) physics_types.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), uv3s_update.fppized): uv3s_update.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), uw_conv.fppized): uw_conv.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized error_function.fppized) $(addsuffix $(OBJ), uwshcu.fppized): uwshcu.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized error_function.fppized mpishorthand.fppized namelist_utils.fppized ppgrid.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), vertical_diffusion.fppized): vertical_diffusion.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized diffusion_solver.fppized eddy_diff.fppized geopotential.fppized hb_diff.fppized infnan.fppized molec_diff.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized trb_mtn_stress.fppized wv_saturation.fppized) $(addsuffix $(OBJ), virtem.fppized): virtem.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), vrtmap.fppized): vrtmap.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized srchutil.fppized) $(addsuffix $(OBJ), wetdep.fppized): wetdep.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized phys_control.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), wrap_mpi.fppized): wrap_mpi.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized perf_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), wrap_nf.fppized): wrap_nf.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), wrf_error_fatal.fppized): wrf_error_fatal.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_sys_mod.fppized) $(addsuffix $(OBJ), wv_saturation.fppized): wv_saturation.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), xpavg_mod.fppized): xpavg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), zenith.fppized): zenith.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized shr_kind_mod.fppized shr_orb_mod.fppized) $(addsuffix $(OBJ), zm_conv.fppized): zm_conv.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cldwat.fppized constituents.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_control.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), zm_conv_intr.fppized): zm_conv_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized check_energy.fppized constituents.fppized error_messages.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized zm_conv.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/build/build_base_x86_64-m64.0000/Makefile.cam4_validate_627.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename array_lib.f90)): array_lib.f90 $(addsuffix $(OBJ),$(basename mrgrnk.f90) ) $(addsuffix $(OBJ), $(basename dsd.f90)): dsd.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 math_lib.f90) ) $(addsuffix $(OBJ), $(basename format_input.f90)): format_input.f90 $(addsuffix $(OBJ),$(basename array_lib.f90) ) $(addsuffix $(OBJ), $(basename hirsbt.f90)): hirsbt.f90 $(addsuffix $(OBJ),$(basename hirsbtpar.f90) physconst.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), $(basename load_hydrometeor_classes.f90)): load_hydrometeor_classes.f90 $(addsuffix $(OBJ),$(basename radar_simulator_types.f90) ) $(addsuffix $(OBJ), $(basename math_lib.f90)): math_lib.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 mrgrnk.f90) ) $(addsuffix $(OBJ), $(basename netcdf/netcdf.f90)): netcdf/netcdf.f90 $(addsuffix $(OBJ),$(basename netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), $(basename netcdf/netcdf_test.f90)): netcdf/netcdf_test.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90 netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), $(basename radar_simulator.f90)): radar_simulator.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 math_lib.f90 mrgrnk.f90 optics_lib.f90 radar_simulator_types.f90) ) $(addsuffix $(OBJ), $(basename validate-cam.f90)): validate-cam.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) ) $(addsuffix $(OBJ), $(basename zeff.f90)): zeff.f90 $(addsuffix $(OBJ),$(basename math_lib.f90 optics_lib.f90) ) $(addsuffix $(OBJ), ESMF_AlarmClockMod.fppized): ESMF_AlarmClockMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_ClockMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_AlarmMod.fppized): ESMF_AlarmMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_BaseTimeMod.fppized): ESMF_BaseTimeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized) $(addsuffix $(OBJ), ESMF_CalendarMod.fppized): ESMF_CalendarMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized) $(addsuffix $(OBJ), ESMF_ClockMod.fppized): ESMF_ClockMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_Mod.fppized): ESMF_Mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmClockMod.fppized ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_ClockMod.fppized ESMF_FractionMod.fppized ESMF_Stubs.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_Stubs.fppized): ESMF_Stubs.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_CalendarMod.fppized) $(addsuffix $(OBJ), ESMF_TimeIntervalMod.fppized): ESMF_TimeIntervalMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_FractionMod.fppized) $(addsuffix $(OBJ), ESMF_TimeMod.fppized): ESMF_TimeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_Stubs.fppized ESMF_TimeIntervalMod.fppized) $(addsuffix $(OBJ), FVperf_module.fppized): FVperf_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mod_comm.fppized perf_mod.fppized) $(addsuffix $(OBJ), Meat.fppized): Meat.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_ClockMod.fppized ESMF_FractionMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), abortutils.fppized): abortutils.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized mpishorthand.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), advect_tend.fppized): advect_tend.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized dynamics_vars.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), advnce.fppized): advnce.fppized.f90 $(addsuffix $(OBJ),$(basename ) aerodep_flx.fppized aircraft_emit.fppized aoa_tracers.fppized cam3_aero_data.fppized cam3_ozone_data.fppized camsrfexch_types.fppized chem_surfvals.fppized chemistry.fppized ghg_data.fppized iondrag.fppized perf_mod.fppized phys_buffer.fppized physics_types.fppized ppgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized radheat.fppized radiation.fppized shr_kind_mod.fppized solar_data.fppized tracers.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), aer_rad_props.fppized): aer_rad_props.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized constituents.fppized modal_aer_opt.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized radconstants.fppized shr_kind_mod.fppized wv_saturation.fppized) $(addsuffix $(OBJ), aerodep_flx.fppized): aerodep_flx.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized camsrfexch_types.fppized modal_aero_deposition.fppized mpishorthand.fppized namelist_utils.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), aerosol_intr.fppized): aerosol_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized camsrfexch_types.fppized constituents.fppized drydep_mod.fppized dust_intr.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized progseasalts_intr.fppized scyc.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized wetdep.fppized) $(addsuffix $(OBJ), aircraft_emit.fppized): aircraft_emit.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), alloc_mod.fppized): alloc_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), aoa_tracers.fppized): aoa_tracers.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized dycore.fppized dyn_grid.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), atm_comp_mct.fppized): atm_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized abortutils.fppized cam_comp.fppized cam_control_mod.fppized cam_cpl_indices.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized cam_restart.fppized camsrfexch_types.fppized co2_cycle.fppized constituents.fppized dust_intr.fppized dyn_grid.fppized filenames.fppized ioFileMod.fppized mct_mod.fppized mpishorthand.fppized perf_mod.fppized phys_control.fppized phys_grid.fppized physconst.fppized pio.fppized pmgrid.fppized ppgrid.fppized radiation.fppized runtime_opts.fppized scamMod.fppized seq_cdata_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), benergy.fppized): benergy.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized mod_comm.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), binary_io.fppized): binary_io.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized pmgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized) $(addsuffix $(OBJ), bnddyi.fppized): bnddyi.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), boundarydata.fppized): boundarydata.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized error_messages.fppized infnan.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized physconst.fppized physics_types.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), box_rearrange.fppized): box_rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized calcdisplace_mod.fppized pio_kinds.fppized pio_spmd_utils.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), buffer.fppized): buffer.fppized.f90 $(addsuffix $(OBJ),$(basename ) constituents.fppized infnan.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), calcdecomp.fppized): calcdecomp.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), calcdisplace_mod.fppized): calcdisplace_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized) $(addsuffix $(OBJ), cam3_aero_data.fppized): cam3_aero_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized boundarydata.fppized cam_logfile.fppized error_messages.fppized infnan.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized shr_scam_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), cam3_ozone_data.fppized): cam3_ozone_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized boundarydata.fppized cam_history.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), cam_comp.fppized): cam_comp.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized cam_restart.fppized camsrfexch_types.fppized dycore.fppized dyn_comp.fppized history_defaults.fppized history_scam.fppized infnan.fppized inital.fppized metdata.fppized mpishorthand.fppized perf_mod.fppized phys_buffer.fppized physics_types.fppized physpkg.fppized pmgrid.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized startup_initialconds.fppized stepon.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), cam_control_mod.fppized): cam_control_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), cam_cpl_indices.fppized): cam_cpl_indices.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized) $(addsuffix $(OBJ), cam_diagnostics.fppized): cam_diagnostics.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized buffer.fppized cam_control_mod.fppized cam_history.fppized camsrfexch_types.fppized check_energy.fppized chemistry.fppized co2_cycle.fppized constituent_burden.fppized constituents.fppized dycore.fppized infnan.fppized interpolate_data.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized tidal_diag.fppized time_manager.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cam_history.fppized): cam_history.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history_buffers.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized chem_surfvals.fppized cosp_share.fppized dycore.fppized dyn_grid.fppized filenames.fppized hycoef.fppized interp_mod.fppized ioFileMod.fppized perf_mod.fppized phys_grid.fppized physconst.fppized pio.fppized pmgrid.fppized ppgrid.fppized pspect.fppized rgrid.fppized sat_hist.fppized scamMod.fppized shr_kind_mod.fppized shr_sys_mod.fppized solar_data.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), cam_history_buffers.fppized): cam_history_buffers.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history_support.fppized cam_pio_utils.fppized dyn_grid.fppized phys_grid.fppized physconst.fppized pio.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), cam_history_support.fppized): cam_history_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized pio.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), cam_pio_utils.fppized): cam_pio_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history_support.fppized cam_logfile.fppized dycore.fppized dyn_grid.fppized perf_mod.fppized phys_grid.fppized pio.fppized pio_support.fppized ppgrid.fppized seq_io_mod.fppized shr_kind_mod.fppized shr_string_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), cam_restart.fppized): cam_restart.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized chem_surfvals.fppized dycore.fppized dyn_comp.fppized filenames.fppized infnan.fppized ioFileMod.fppized mpishorthand.fppized phys_grid.fppized pio.fppized pmgrid.fppized ppgrid.fppized radiation.fppized ref_pres.fppized restart_dynamics.fppized restart_physics.fppized rgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), camsrfexch_types.fppized): camsrfexch_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized infnan.fppized phys_grid.fppized ppgrid.fppized seq_drydep_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ccsm_comp_mod.fppized): ccsm_comp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized atm_comp_mct.fppized glc_comp_mct.fppized ice_comp_mct.fppized lnd_comp_mct.fppized map_atmatm_mct.fppized map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_glcglc_mct.fppized map_iceice_mct.fppized map_iceocn_mct.fppized map_lndlnd_mct.fppized map_ocnocn_mct.fppized map_rofocn_mct.fppized map_rofrof_mct.fppized map_snoglc_mct.fppized map_snosno_mct.fppized mct_mod.fppized mrg_x2a_mct.fppized mrg_x2g_mct.fppized mrg_x2i_mct.fppized mrg_x2l_mct.fppized mrg_x2o_mct.fppized mrg_x2s_mct.fppized ocn_comp_mct.fppized perf_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_domain_mct.fppized seq_flds_indices.fppized seq_flux_mct.fppized seq_frac_mct.fppized seq_hist_mod.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_rest_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fp pized shr_kind_mod.fppized shr_map_mod.fppized shr_mem_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_scam_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), ccsm_driver.fppized): ccsm_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized ccsm_comp_mod.fppized perf_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), cd_core.fppized): cd_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized abortutils.fppized cam_logfile.fppized dynamics_vars.fppized fv_control_mod.fppized metdata.fppized mod_comm.fppized mpishorthand.fppized pft_module.fppized shr_kind_mod.fppized spmd_utils.fppized sw_core.fppized) $(addsuffix $(OBJ), check_energy.fppized): check_energy.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized phys_buffer.fppized phys_gmean.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), chem_surfvals.fppized): chem_surfvals.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized error_messages.fppized infnan.fppized interpolate_data.fppized ioFileMod.fppized m_types.fppized mo_flbc.fppized mpishorthand.fppized namelist_utils.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), chemistry.fppized): chemistry.fppized.f90 $(addsuffix $(OBJ),$(basename ) camsrfexch_types.fppized phys_buffer.fppized physics_types.fppized pio.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), cldsav.fppized): cldsav.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), cldwat.fppized): cldwat.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_logfile.fppized comsrf.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_control.fppized phys_grid.fppized pmgrid.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cldwat2m_macro.fppized): cldwat2m_macro.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cloud_fraction.fppized constituents.fppized phys_debug_util.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cldwat2m_micro.fppized): cldwat2m_micro.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized cldwat2m_macro.fppized phys_control.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cloud_diagnostics.fppized): cloud_diagnostics.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_buffer.fppized physics_types.fppized) $(addsuffix $(OBJ), cloud_fraction.fppized): cloud_fraction.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized chemistry.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cloud_rad_props.fppized): cloud_rad_props.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_buffer.fppized physics_types.fppized ppgrid.fppized radconstants.fppized) $(addsuffix $(OBJ), cmparray_mod.fppized): cmparray_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), co2_cycle.fppized): co2_cycle.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized chem_surfvals.fppized co2_data_flux.fppized constituents.fppized mpishorthand.fppized namelist_utils.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), co2_data_flux.fppized): co2_data_flux.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized error_messages.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized phys_grid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), commap.fppized): commap.fppized.f90 $(addsuffix $(OBJ),$(basename ) pmgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), comspe.fppized): comspe.fppized.f90 $(addsuffix $(OBJ),$(basename ) pmgrid.fppized pspect.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), comsrf.fppized): comsrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized infnan.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), constituent_burden.fppized): constituent_burden.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), constituents.fppized): constituents.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized physconst.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), conv_water.fppized): conv_water.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), convect_deep.fppized): convect_deep.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized gw_drag.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized zm_conv_intr.fppized) $(addsuffix $(OBJ), convect_shallow.fppized): convect_shallow.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized hk_conv.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized uw_conv.fppized uwshcu.fppized wv_saturation.fppized zm_conv.fppized) $(addsuffix $(OBJ), cosp.fppized): cosp.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_modis_simulator.fppized cosp_simulator.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_isccp_simulator.fppized): cosp_isccp_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_lidar.fppized): cosp_lidar.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_misr_simulator.fppized): cosp_misr_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_modis_simulator.fppized): cosp_modis_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized modis_simulator.fppized) $(addsuffix $(OBJ), cosp_radar.fppized): cosp_radar.fppized.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 atmos_lib.f90 format_input.f90 math_lib.f90 mrgrnk.f90 optics_lib.f90 radar_simulator_types.f90) cosp_constants.fppized cosp_types.fppized cosp_utils.fppized) $(addsuffix $(OBJ), cosp_share.fppized): cosp_share.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), cosp_simulator.fppized): cosp_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_isccp_simulator.fppized cosp_lidar.fppized cosp_misr_simulator.fppized cosp_modis_simulator.fppized cosp_radar.fppized cosp_stats.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_stats.fppized): cosp_stats.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized llnl_stats.fppized lmd_ipsl_stats.fppized) $(addsuffix $(OBJ), cosp_types.fppized): cosp_types.fppized.f90 $(addsuffix $(OBJ),$(basename radar_simulator_types.f90) cosp_constants.fppized cosp_utils.fppized) $(addsuffix $(OBJ), cosp_utils.fppized): cosp_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized) $(addsuffix $(OBJ), cospsimulator_intr.fppized): cospsimulator_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized cam_pio_utils.fppized camsrfexch_types.fppized cmparray_mod.fppized constituents.fppized cosp.fppized cosp_constants.fppized cosp_modis_simulator.fppized cosp_share.fppized cosp_types.fppized error_messages.fppized interpolate_data.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cpslec.fppized): cpslec.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ctem.fppized): ctem.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized dynamics_vars.fppized hycoef.fppized interpolate_data.fppized mpishorthand.fppized namelist_utils.fppized parutilitiesmodule.fppized physconst.fppized pmgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), d2a3dijk.fppized): d2a3dijk.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), d2a3dikj.fppized): d2a3dikj.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized perf_mod.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), dadadj.fppized): dadadj.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_logfile.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), debugutilitiesmodule.fppized): debugutilitiesmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized) $(addsuffix $(OBJ), decompmodule.fppized): decompmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized debugutilitiesmodule.fppized) $(addsuffix $(OBJ), diag_dynvar_ic.fppized): diag_dynvar_ic.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized dynamics_vars.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), diag_module.fppized): diag_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mod_comm.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), diffusion_solver.fppized): diffusion_solver.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized phys_control.fppized phys_debug_util.fppized time_manager.fppized) $(addsuffix $(OBJ), dp_coupling.fppized): dp_coupling.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized check_energy.fppized constituents.fppized ctem.fppized dyn_comp.fppized dynamics_vars.fppized geopotential.fppized metdata.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized rgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized spmd_dyn.fppized) $(addsuffix $(OBJ), dryairm.fppized): dryairm.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized cam_logfile.fppized constituents.fppized dynamics_vars.fppized mean_module.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), drydep_mod.fppized): drydep_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), dust_intr.fppized): dust_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerodep_flx.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized constituents.fppized drydep_mod.fppized dust_sediment_mod.fppized error_function.fppized interpolate_data.fppized ioFileMod.fppized mo_constants.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized wetdep.fppized) $(addsuffix $(OBJ), dust_sediment_mod.fppized): dust_sediment_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), dycore.fppized): dycore.fppized.f90 $(addsuffix $(OBJ),$(basename ) pmgrid.fppized) $(addsuffix $(OBJ), dyn_comp.fppized): dyn_comp.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized ctem.fppized diag_module.fppized dycore.fppized dynamics_vars.fppized fv_control_mod.fppized hycoef.fppized infnan.fppized metdata.fppized mod_comm.fppized mpishorthand.fppized parutilitiesmodule.fppized perf_mod.fppized pfixer.fppized phys_control.fppized physconst.fppized pio.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), dyn_grid.fppized): dyn_grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized commap.fppized hycoef.fppized physconst.fppized pmgrid.fppized rgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized) $(addsuffix $(OBJ), dyn_internal_state.fppized): dyn_internal_state.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized) $(addsuffix $(OBJ), dynamics_vars.fppized): dynamics_vars.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized decompmodule.fppized fv_control_mod.fppized ghostmodule.fppized mod_comm.fppized mpishorthand.fppized parutilitiesmodule.fppized pft_module.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), eddy_diff.fppized): eddy_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized diffusion_solver.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized ppgrid.fppized time_manager.fppized) $(addsuffix $(OBJ), epvd.fppized): epvd.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mapz_module.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), error_messages.fppized): error_messages.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) abortutils.fppized cam_logfile.fppized) $(addsuffix $(OBJ), esinti.fppized): esinti.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized wv_saturation.fppized) $(addsuffix $(OBJ), fft99.fppized): fft99.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), filenames.fppized): filenames.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), fill_module.fppized): fill_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), flux_avg.fppized): flux_avg.fppized.f90 $(addsuffix $(OBJ),$(basename ) camsrfexch_types.fppized phys_buffer.fppized phys_debug.fppized phys_grid.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), fv_control_mod.fppized): fv_control_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), fv_prints.fppized): fv_prints.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized camsrfexch_types.fppized constituents.fppized dynamics_vars.fppized mpishorthand.fppized parutilitiesmodule.fppized perf_mod.fppized phys_gmean.fppized phys_grid.fppized physics_types.fppized ppgrid.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), gauaw_mod.fppized): gauaw_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), geopk.fppized): geopk.fppized.f90 $(addsuffix $(OBJ),$(basename ) decompmodule.fppized dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized spmd_dyn.fppized) $(addsuffix $(OBJ), geopotential.fppized): geopotential.fppized.f90 $(addsuffix $(OBJ),$(basename ) dycore.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), gffgch.fppized): gffgch.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized physconst.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ghg_data.fppized): ghg_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized chem_surfvals.fppized error_messages.fppized phys_buffer.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ghostmodule.fppized): ghostmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) debugutilitiesmodule.fppized decompmodule.fppized) $(addsuffix $(OBJ), glc_comp_mct.fppized): glc_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), gw_drag.fppized): gw_drag.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_control.fppized phys_grid.fppized physics_types.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), hb_diff.fppized): hb_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized phys_debug.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), history_defaults.fppized): history_defaults.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized dycore.fppized phys_control.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), history_scam.fppized): history_scam.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized pmgrid.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), hk_conv.fppized): hk_conv.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), horizontal_interpolate.fppized): horizontal_interpolate.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized scamMod.fppized shr_const_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), hycoef.fppized): hycoef.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized pio.fppized pmgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), ice_comp_mct.fppized): ice_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), infnan.fppized): infnan.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), inidat.fppized): inidat.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerosol_intr.fppized aoa_tracers.fppized cam_control_mod.fppized cam_history_support.fppized cam_logfile.fppized chemistry.fppized co2_cycle.fppized commap.fppized constituents.fppized dyn_comp.fppized dyn_grid.fppized dyn_internal_state.fppized dynamics_vars.fppized fv_control_mod.fppized microp_driver.fppized mod_comm.fppized mpishorthand.fppized ncdio_atm.fppized phys_control.fppized phys_grid.fppized physconst.fppized pio.fppized pmgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized stratiform.fppized tracers.fppized) $(addsuffix $(OBJ), inital.fppized): inital.fppized.f90 $(addsuffix $(OBJ),$(basename ) chem_surfvals.fppized dyn_comp.fppized dyn_internal_state.fppized dynamics_vars.fppized phys_grid.fppized ref_pres.fppized shr_kind_mod.fppized startup_initialconds.fppized) $(addsuffix $(OBJ), initcom.fppized): initcom.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized commap.fppized physconst.fppized pmgrid.fppized pspect.fppized rgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), initindx.fppized): initindx.fppized.f90 $(addsuffix $(OBJ),$(basename ) aerosol_intr.fppized aircraft_emit.fppized aoa_tracers.fppized cam3_aero_data.fppized cam3_ozone_data.fppized cam_control_mod.fppized cam_diagnostics.fppized check_energy.fppized chemistry.fppized co2_cycle.fppized constituents.fppized conv_water.fppized convect_deep.fppized convect_shallow.fppized flux_avg.fppized ghg_data.fppized gw_drag.fppized iondrag.fppized ionosphere.fppized macrop_driver.fppized microp_driver.fppized phys_buffer.fppized phys_control.fppized physconst.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized radiation.fppized shr_kind_mod.fppized spmd_utils.fppized sslt_rebin.fppized stratiform.fppized string_utils.fppized tracers.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), interp_mod.fppized): interp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized pio.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), interpolate_data.fppized): interpolate_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), intp_util.fppized): intp_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized phys_grid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ioFileMod.fppized): ioFileMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), iompi_mod.fppized): iompi_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), iondrag.fppized): iondrag.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized phys_buffer.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ionf_mod.fppized): ionf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), ionosphere.fppized): ionosphere.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized phys_buffer.fppized physics_types.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), iop_surf.fppized): iop_surf.fppized.f90 $(addsuffix $(OBJ),$(basename ) camsrfexch_types.fppized physconst.fppized ppgrid.fppized scamMod.fppized) $(addsuffix $(OBJ), llnl_stats.fppized): llnl_stats.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized) $(addsuffix $(OBJ), lmd_ipsl_stats.fppized): lmd_ipsl_stats.fppized.f90 $(addsuffix $(OBJ),$(basename ) llnl_stats.fppized) $(addsuffix $(OBJ), lnd_comp_mct.fppized): lnd_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), m_Accumulator.fppized): m_Accumulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_die.fppized m_mall.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AccumulatorComms.fppized): m_AccumulatorComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_Accumulator.fppized m_AttrVect.fppized m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVect.fppized): m_AttrVect.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_List.fppized m_SortingTools.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_mall.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVectComms.fppized): m_AttrVectComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_FcComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVectReduce.fppized): m_AttrVectReduce.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ConvertMaps.fppized): m_ConvertMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalMap.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_SortingTools.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ExchangeMaps.fppized): m_ExchangeMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_ConvertMaps.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_FcComms.fppized): m_FcComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mpif90.fppized m_realkinds.fppized) $(addsuffix $(OBJ), m_FileResolv.fppized): m_FileResolv.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_StrTemplate.fppized m_die.fppized) $(addsuffix $(OBJ), m_GeneralGrid.fppized): m_GeneralGrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GeneralGridComms.fppized): m_GeneralGridComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVectComms.fppized m_GeneralGrid.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalMap.fppized): m_GlobalMap.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalSegMap.fppized): m_GlobalSegMap.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_FcComms.fppized m_MCTWorld.fppized m_SortingTools.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalSegMapComms.fppized): m_GlobalSegMapComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalToLocal.fppized): m_GlobalToLocal.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalMap.fppized m_GlobalSegMap.fppized m_Navigator.fppized m_SparseMatrix.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_char.fppized): m_IndexBin_char.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_integer.fppized): m_IndexBin_integer.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_logical.fppized): m_IndexBin_logical.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_List.fppized): m_List.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_String.fppized m_die.fppized m_mall.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MCTWorld.fppized): m_MCTWorld.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_List.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MatAttrVectMul.fppized): m_MatAttrVectMul.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_Rearranger.fppized m_SparseMatrix.fppized m_SparseMatrixPlus.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Merge.fppized): m_Merge.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_GeneralGrid.fppized m_List.fppized m_String.fppized m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MergeSorts.fppized): m_MergeSorts.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Navigator.fppized): m_Navigator.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mall.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Permuter.fppized): m_Permuter.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_realkinds.fppized) $(addsuffix $(OBJ), m_Rearranger.fppized): m_Rearranger.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_Router.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Router.fppized): m_Router.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_ExchangeMaps.fppized m_GlobalSegMap.fppized m_GlobalToLocal.fppized m_MCTWorld.fppized m_MergeSorts.fppized m_Permuter.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SortingTools.fppized): m_SortingTools.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_IndexBin_char.fppized m_IndexBin_integer.fppized m_IndexBin_logical.fppized m_MergeSorts.fppized m_Permuter.fppized m_rankMerge.fppized) $(addsuffix $(OBJ), m_SparseMatrix.fppized): m_SparseMatrix.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixComms.fppized): m_SparseMatrixComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_SparseMatrixDecomp.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixDecomp.fppized): m_SparseMatrixDecomp.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_die.fppized) $(addsuffix $(OBJ), m_SparseMatrixPlus.fppized): m_SparseMatrixPlus.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_GlobalToLocal.fppized m_List.fppized m_Rearranger.fppized m_SparseMatrix.fppized m_SparseMatrixComms.fppized m_SparseMatrixToMaps.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixToMaps.fppized): m_SparseMatrixToMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SpatialIntegral.fppized): m_SpatialIntegral.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectReduce.fppized m_GeneralGrid.fppized m_List.fppized m_SpatialIntegralV.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SpatialIntegralV.fppized): m_SpatialIntegralV.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectReduce.fppized m_List.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_StrTemplate.fppized): m_StrTemplate.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_String.fppized): m_String.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mall.fppized m_mpif90.fppized) $(addsuffix $(OBJ), m_TraceBack.fppized): m_TraceBack.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_String.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Transfer.fppized): m_Transfer.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_MCTWorld.fppized m_Router.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_die.fppized): m_die.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_dropdead.fppized m_flow.fppized m_mpif90.fppized m_mpout.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_dropdead.fppized): m_dropdead.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_flow.fppized): m_flow.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized) $(addsuffix $(OBJ), m_inpak90.fppized): m_inpak90.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_ioutil.fppized m_mall.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ioutil.fppized): m_ioutil.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_stdio.fppized) $(addsuffix $(OBJ), m_mall.fppized): m_mall.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized m_die.fppized m_ioutil.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_mpif90.fppized): m_mpif90.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_mpif.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_mpout.fppized): m_mpout.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_dropdead.fppized m_ioutil.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_rankMerge.fppized): m_rankMerge.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_realkinds.fppized) $(addsuffix $(OBJ), m_zeit.fppized): m_zeit.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_SortingTools.fppized m_die.fppized m_ioutil.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), macrop_driver.fppized): macrop_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized cldwat.fppized cldwat2m_macro.fppized cloud_fraction.fppized constituents.fppized convect_shallow.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), majorsp_diffusion.fppized): majorsp_diffusion.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), map_atmatm_mct.fppized): map_atmatm_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmice_mct.fppized): map_atmice_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_map_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmlnd_mct.fppized): map_atmlnd_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_map_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmocn_mct.fppized): map_atmocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_map_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_glcglc_mct.fppized): map_glcglc_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_iceice_mct.fppized): map_iceice_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_iceocn_mct.fppized): map_iceocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_lndlnd_mct.fppized): map_lndlnd_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_ocnocn_mct.fppized): map_ocnocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_rofocn_mct.fppized): map_rofocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_rofrof_mct.fppized): map_rofrof_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_snoglc_mct.fppized): map_snoglc_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_snosno_mct.fppized): map_snosno_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), mapz_module.fppized): mapz_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), marsaglia.fppized): marsaglia.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), mcshallow.fppized): mcshallow.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized error_function.fppized ppgrid.fppized) $(addsuffix $(OBJ), mct_mod.fppized): mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_Accumulator.fppized m_AttrVect.fppized m_AttrVectComms.fppized m_GeneralGrid.fppized m_GeneralGridComms.fppized m_GlobalSegMap.fppized m_GlobalSegMapComms.fppized m_GlobalToLocal.fppized m_List.fppized m_MCTWorld.fppized m_MatAttrVectMul.fppized m_MergeSorts.fppized m_Permuter.fppized m_Rearranger.fppized m_Router.fppized m_SparseMatrix.fppized m_SparseMatrixComms.fppized m_SparseMatrixPlus.fppized m_SparseMatrixToMaps.fppized m_String.fppized m_Transfer.fppized m_die.fppized m_inpak90.fppized m_stdio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), mct_rearrange.fppized): mct_rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized m_AttrVect.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_Rearranger.fppized pio_kinds.fppized pio_quicksort.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), mean_module.fppized): mean_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized commap.fppized dynamics_vars.fppized parutilitiesmodule.fppized perf_mod.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), metdata.fppized): metdata.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized dynamics_vars.fppized hycoef.fppized infnan.fppized ioFileMod.fppized mod_comm.fppized mpishorthand.fppized ncdio_atm.fppized perf_mod.fppized phys_grid.fppized physics_types.fppized pio.fppized pmgrid.fppized ppgrid.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), microp_aero.fppized): microp_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cldwat2m_macro.fppized constituents.fppized error_function.fppized ndrop.fppized phys_control.fppized physconst.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized spmd_utils.fppized wv_saturation.fppized) $(addsuffix $(OBJ), microp_driver.fppized): microp_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cldwat.fppized cldwat2m_macro.fppized cldwat2m_micro.fppized constituents.fppized conv_water.fppized convect_shallow.fppized dycore.fppized microp_aero.fppized ndrop.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), mo_constants.fppized): mo_constants.fppized.f90 $(addsuffix $(OBJ),$(basename ) physconst.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mo_flbc.fppized): mo_flbc.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized commap.fppized constituents.fppized interpolate_data.fppized ioFileMod.fppized m_types.fppized mo_constants.fppized phys_gmean.fppized phys_grid.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized time_utils.fppized) $(addsuffix $(OBJ), mo_msis_ubc.fppized): mo_msis_ubc.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized phys_grid.fppized physconst.fppized pmgrid.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), mo_regrider.fppized): mo_regrider.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized dycore.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mo_solar_parms.fppized): mo_solar_parms.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized error_messages.fppized ioFileMod.fppized pio.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized time_utils.fppized) $(addsuffix $(OBJ), mo_util.fppized): mo_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), mod_comm.fppized): mod_comm.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized perf_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), modal_aer_opt.fppized): modal_aer_opt.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized constituents.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized rad_constituents.fppized radconstants.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), modal_aero_deposition.fppized): modal_aero_deposition.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized camsrfexch_types.fppized constituents.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), modis_simulator.fppized): modis_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cosp_constants.fppized) $(addsuffix $(OBJ), molec_diff.fppized): molec_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized infnan.fppized perf_mod.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized upper_bc.fppized) $(addsuffix $(OBJ), mrg_x2a_mct.fppized): mrg_x2a_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2g_mct.fppized): mrg_x2g_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2i_mct.fppized): mrg_x2i_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2l_mct.fppized): mrg_x2l_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2o_mct.fppized): mrg_x2o_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2s_mct.fppized): mrg_x2s_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), msise00.fppized): msise00.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), namelist_utils.fppized): namelist_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) string_utils.fppized) $(addsuffix $(OBJ), ncdio_atm.fppized): ncdio_atm.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized constituents.fppized dycore.fppized dyn_grid.fppized phys_grid.fppized pio.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized shr_scam_mod.fppized shr_sys_mod.fppized spmd_utils.fppized string_utils.fppized xpavg_mod.fppized) $(addsuffix $(OBJ), ndrop.fppized): ndrop.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized error_function.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized wv_saturation.fppized) $(addsuffix $(OBJ), nf_mod.fppized): nf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), ocn_comp.fppized): ocn_comp.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized ocn_types.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ocn_comp_mct.fppized): ocn_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized ocn_comp.fppized ocn_types.fppized perf_mod.fppized phys_grid.fppized physconst.fppized ppgrid.fppized seq_cdata_mod.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), ocn_types.fppized): ocn_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), p_d_adjust.fppized): p_d_adjust.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized parutilitiesmodule.fppized perf_mod.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), par_vecsum.fppized): par_vecsum.fppized.f90 $(addsuffix $(OBJ),$(basename ) parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), par_xsum.fppized): par_xsum.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized cam_logfile.fppized dynamics_vars.fppized parutilitiesmodule.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), param_cldoptics.fppized): param_cldoptics.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized conv_water.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pkg_cldoptics.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), parutilitiesmodule.fppized): parutilitiesmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized debugutilitiesmodule.fppized decompmodule.fppized ghostmodule.fppized mod_comm.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), perf_mod.fppized): perf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) namelist_utils.fppized perf_utils.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), pfixer.fppized): pfixer.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized commap.fppized dynamics_vars.fppized hycoef.fppized metdata.fppized mod_comm.fppized mpishorthand.fppized parutilitiesmodule.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), pft_module.fppized): pft_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) fv_control_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), phys_buffer.fppized): phys_buffer.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized dyn_grid.fppized infnan.fppized mpishorthand.fppized phys_grid.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized) $(addsuffix $(OBJ), phys_control.fppized): phys_control.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), phys_debug.fppized): phys_debug.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized camsrfexch_types.fppized constituents.fppized phys_debug_util.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), phys_debug_util.fppized): phys_debug_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), phys_gmean.fppized): phys_gmean.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized dycore.fppized dyn_grid.fppized mpishorthand.fppized perf_mod.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized repro_sum_mod.fppized rgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), phys_grid.fppized): phys_grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized dycore.fppized dyn_grid.fppized m_MergeSorts.fppized mod_comm.fppized mpishorthand.fppized perf_mod.fppized physconst.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized) $(addsuffix $(OBJ), phys_prop.fppized): phys_prop.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized ioFileMod.fppized pio.fppized radconstants.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), physconst.fppized): physconst.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized ppgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), physics_types.fppized): physics_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized dycore.fppized geopotential.fppized infnan.fppized phys_control.fppized phys_grid.fppized physconst.fppized pmgrid.fppized ppgrid.fppized scamMod.fppized shr_const_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), physpkg.fppized): physpkg.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aer_rad_props.fppized aerodep_flx.fppized aerosol_intr.fppized aircraft_emit.fppized aoa_tracers.fppized buffer.fppized cam3_aero_data.fppized cam3_ozone_data.fppized cam_control_mod.fppized cam_diagnostics.fppized cam_history.fppized cam_logfile.fppized camsrfexch_types.fppized check_energy.fppized chemistry.fppized cldwat.fppized cloud_diagnostics.fppized cloud_fraction.fppized cloud_rad_props.fppized co2_cycle.fppized comsrf.fppized constituents.fppized conv_water.fppized convect_deep.fppized convect_shallow.fppized dycore.fppized flux_avg.fppized gw_drag.fppized infnan.fppized iondrag.fppized ionosphere.fppized macrop_driver.fppized majorsp_diffusion.fppized metdata.fppized microp_driver.fppized mpishorthand.fppized ncdio_atm.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized phys_gmean.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio. fppized polar_avg.fppized ppgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized rad_constituents.fppized rad_solar_var.fppized radheat.fppized radiation.fppized rayleigh_friction.fppized ref_pres.fppized scamMod.fppized shr_kind_mod.fppized solar_data.fppized spmd_utils.fppized sslt_rebin.fppized startup_initialconds.fppized stratiform.fppized time_manager.fppized tracers.fppized tropopause.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), pio.fppized): pio.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized pio_kinds.fppized pio_types.fppized piodarray.fppized piolib_mod.fppized pionfatt_mod.fppized pionfget_mod.fppized pionfput_mod.fppized) $(addsuffix $(OBJ), pio_kinds.fppized): pio_kinds.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) ) $(addsuffix $(OBJ), pio_mpi_utils.fppized): pio_mpi_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), pio_msg_callbacks.fppized): pio_msg_callbacks.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized piolib_mod.fppized) $(addsuffix $(OBJ), pio_msg_getput_callbacks.fppized): pio_msg_getput_callbacks.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized) $(addsuffix $(OBJ), pio_msg_mod.fppized): pio_msg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), pio_nf_utils.fppized): pio_nf_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pionfget_mod.fppized pionfput_mod.fppized) $(addsuffix $(OBJ), pio_quicksort.fppized): pio_quicksort.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_spmd_utils.fppized): pio_spmd_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized) $(addsuffix $(OBJ), pio_support.fppized): pio_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_types.fppized): pio_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_utils.fppized): pio_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), piodarray.fppized): piodarray.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized iompi_mod.fppized perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pionfread_mod.fppized pionfwrite_mod.fppized rearrange.fppized) $(addsuffix $(OBJ), piolib_mod.fppized): piolib_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized calcdecomp.fppized calcdisplace_mod.fppized iompi_mod.fppized ionf_mod.fppized perf_mod.fppized pio_kinds.fppized pio_mpi_utils.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pionfread_mod.fppized pionfwrite_mod.fppized rearrange.fppized) $(addsuffix $(OBJ), pionfatt_mod.fppized): pionfatt_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfget_mod.fppized): pionfget_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfput_mod.fppized): pionfput_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfread_mod.fppized): pionfread_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfwrite_mod.fppized): pionfwrite_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized nf_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pkez.fppized): pkez.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), pkg_cld_sediment.fppized): pkg_cld_sediment.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cldwat.fppized mpishorthand.fppized namelist_utils.fppized physconst.fppized pkg_cldoptics.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), pkg_cldoptics.fppized): pkg_cldoptics.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_control.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), pmgrid.fppized): pmgrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) decompmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), polar_avg.fppized): polar_avg.fppized.f90 $(addsuffix $(OBJ),$(basename ) dycore.fppized dyn_grid.fppized mpishorthand.fppized phys_grid.fppized ppgrid.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), prescribed_aero.fppized): prescribed_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), prescribed_ghg.fppized): prescribed_ghg.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), prescribed_ozone.fppized): prescribed_ozone.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), prescribed_volcaero.fppized): prescribed_volcaero.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized tropopause.fppized units.fppized) $(addsuffix $(OBJ), progseasalts_intr.fppized): progseasalts_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized constituents.fppized drydep_mod.fppized dust_sediment_mod.fppized mo_constants.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized wetdep.fppized wv_saturation.fppized) $(addsuffix $(OBJ), puminterfaces.fppized): puminterfaces.fppized.f90 $(addsuffix $(OBJ),$(basename ) decompmodule.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), qneg3.fppized): qneg3.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), qneg4.fppized): qneg4.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), quicksort.fppized): quicksort.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), rad_constituents.fppized): rad_constituents.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_prop.fppized physconst.fppized physics_types.fppized ppgrid.fppized radconstants.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), rad_solar_var.fppized): rad_solar_var.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized mo_util.fppized radconstants.fppized shr_kind_mod.fppized solar_data.fppized) $(addsuffix $(OBJ), radae.fppized): radae.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized filenames.fppized infnan.fppized ioFileMod.fppized phys_control.fppized pio.fppized pmgrid.fppized ppgrid.fppized radconstants.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), radconstants.fppized): radconstants.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), radheat.fppized): radheat.fppized.f90 $(addsuffix $(OBJ),$(basename ) chemistry.fppized phys_buffer.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), radiation.fppized): radiation.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aer_rad_props.fppized cam_control_mod.fppized cam_history.fppized cam_history_support.fppized cam_logfile.fppized camsrfexch_types.fppized cosp_share.fppized cospsimulator_intr.fppized error_messages.fppized interpolate_data.fppized param_cldoptics.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized pspect.fppized rad_constituents.fppized radae.fppized radconstants.fppized radheat.fppized radiation_data.fppized radlw.fppized radsw.fppized scamMod.fppized shr_kind_mod.fppized shr_orb_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), radiation_data.fppized): radiation_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized camsrfexch_types.fppized constituents.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), radlw.fppized): radlw.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized phys_control.fppized ppgrid.fppized quicksort.fppized radae.fppized radconstants.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), radsw.fppized): radsw.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cmparray_mod.fppized phys_control.fppized ppgrid.fppized quicksort.fppized rad_solar_var.fppized radconstants.fppized scamMod.fppized shr_kind_mod.fppized solar_data.fppized) $(addsuffix $(OBJ), rayleigh_friction.fppized): rayleigh_friction.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), readinitial.fppized): readinitial.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized pio.fppized pmgrid.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), rearrange.fppized): rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) box_rearrange.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), redistributemodule.fppized): redistributemodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) debugutilitiesmodule.fppized decompmodule.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ref_pres.fppized): ref_pres.fppized.f90 $(addsuffix $(OBJ),$(basename ) dyn_grid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), repro_sum_mod.fppized): repro_sum_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), restart_dynamics.fppized): restart_dynamics.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized constituents.fppized dyn_comp.fppized dyn_grid.fppized dyn_internal_state.fppized dynamics_vars.fppized fv_control_mod.fppized hycoef.fppized metdata.fppized pio.fppized pmgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), restart_physics.fppized): restart_physics.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized buffer.fppized cam_control_mod.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized chemistry.fppized co2_cycle.fppized comsrf.fppized constituents.fppized cospsimulator_intr.fppized dyn_grid.fppized ioFileMod.fppized phys_buffer.fppized phys_grid.fppized pio.fppized ppgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized radae.fppized radiation.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), rgrid.fppized): rgrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) infnan.fppized pmgrid.fppized pspect.fppized) $(addsuffix $(OBJ), runtime_opts.fppized): runtime_opts.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerodep_flx.fppized aircraft_emit.fppized aoa_tracers.fppized cam3_aero_data.fppized cam3_ozone_data.fppized cam_control_mod.fppized cam_diagnostics.fppized cam_history.fppized cam_logfile.fppized cam_restart.fppized check_energy.fppized chem_surfvals.fppized chemistry.fppized cldwat.fppized cloud_fraction.fppized co2_cycle.fppized constituents.fppized cospsimulator_intr.fppized dycore.fppized filenames.fppized gw_drag.fppized hk_conv.fppized iondrag.fppized metdata.fppized modal_aer_opt.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized phys_grid.fppized physconst.fppized pkg_cld_sediment.fppized pmgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized pspect.fppized rad_constituents.fppized radheat.fppized radiation.fppized radiation_data.fppized rayleigh_friction. fppized repro_sum_mod.fppized rgrid.fppized sat_hist.fppized scamMod.fppized shr_kind_mod.fppized shr_string_mod.fppized solar_data.fppized spmd_utils.fppized time_manager.fppized tracers.fppized tropopause.fppized units.fppized uwshcu.fppized zm_conv.fppized) $(addsuffix $(OBJ), sat_hist.fppized): sat_hist.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized dyn_grid.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_grid.fppized physconst.fppized pio.fppized pionfwrite_mod.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), scamMod.fppized): scamMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized pmgrid.fppized shr_kind_mod.fppized shr_scam_mod.fppized wrap_nf.fppized) $(addsuffix $(OBJ), scyc.fppized): scyc.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized) $(addsuffix $(OBJ), seq_avdata_mod.fppized): seq_avdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), seq_cdata_mod.fppized): seq_cdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_comm_mct.fppized): seq_comm_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized shr_file_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_diag_mct.fppized): seq_diag_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_domain_mct.fppized): seq_domain_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_iceocn_mct.fppized map_snoglc_mct.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_drydep_mod.fppized): seq_drydep_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flds_indices.fppized): seq_flds_indices.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flds_mod.fppized): seq_flds_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flux_mct.fppized): seq_flux_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized shr_flux_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_orb_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_frac_mct.fppized): seq_frac_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_iceocn_mct.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_hist_mod.fppized): seq_hist_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_infodata_mod.fppized): seq_infodata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) seq_comm_mct.fppized seq_io_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_io_mod.fppized): seq_io_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized seq_comm_mct.fppized seq_flds_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_map_mod.fppized): seq_map_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_comm_mct.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_rearr_mod.fppized): seq_rearr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_flds_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_rest_mod.fppized): seq_rest_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_timemgr_mod.fppized): seq_timemgr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized seq_comm_mct.fppized seq_io_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), sgexx.fppized): sgexx.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_cal_mod.fppized): shr_cal_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_const_mod.fppized): shr_const_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_dmodel_mod.fppized): shr_dmodel_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized perf_mod.fppized pio.fppized seq_flds_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_map_mod.fppized shr_mpi_mod.fppized shr_ncread_mod.fppized shr_stream_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_file_mod.fppized): shr_file_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_flux_mod.fppized): shr_flux_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_control.fppized physconst.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_infnan_mod.fppized): shr_infnan_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_log_mod.fppized): shr_log_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_map_mod.fppized): shr_map_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized shr_timer_mod.fppized) $(addsuffix $(OBJ), shr_mct_mod.fppized): shr_mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_pcdf_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_mem_mod.fppized): shr_mem_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), shr_mpi_mod.fppized): shr_mpi_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), shr_msg_mod.fppized): shr_msg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_file_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_ncread_mod.fppized): shr_ncread_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_orb_mod.fppized): shr_orb_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_pcdf_mod.fppized): shr_pcdf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_scam_mod.fppized): shr_scam_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_ncread_mod.fppized shr_strdata_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_strdata_mod.fppized): shr_strdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized perf_mod.fppized pio.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_map_mod.fppized shr_mct_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_stream_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized shr_tInterp_mod.fppized) $(addsuffix $(OBJ), shr_stream_mod.fppized): shr_stream_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_string_mod.fppized): shr_string_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized shr_timer_mod.fppized) $(addsuffix $(OBJ), shr_sys_mod.fppized): shr_sys_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized) $(addsuffix $(OBJ), shr_tInterp_mod.fppized): shr_tInterp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_cal_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_orb_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_timer_mod.fppized): shr_timer_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_vmath_mod.fppized): shr_vmath_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), solar_data.fppized): solar_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized infnan.fppized ioFileMod.fppized namelist_utils.fppized physconst.fppized pio.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), spmd_dyn.fppized): spmd_dyn.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized decompmodule.fppized fv_control_mod.fppized ghostmodule.fppized infnan.fppized mpishorthand.fppized namelist_utils.fppized parutilitiesmodule.fppized pmgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), spmd_utils.fppized): spmd_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized shr_kind_mod.fppized units.fppized) $(addsuffix $(OBJ), srchutil.fppized): srchutil.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), srfxfer.fppized): srfxfer.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized cam_history.fppized camsrfexch_types.fppized chem_surfvals.fppized co2_cycle.fppized comsrf.fppized constituents.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), sslt_rebin.fppized): sslt_rebin.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized phys_buffer.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), startup_initialconds.fppized): startup_initialconds.fppized.f90 $(addsuffix $(OBJ),$(basename ) buffer.fppized cam_pio_utils.fppized comsrf.fppized dyn_comp.fppized filenames.fppized history_defaults.fppized inidat.fppized ioFileMod.fppized phys_buffer.fppized pio.fppized radae.fppized readinitial.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), stepon.fppized): stepon.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized advect_tend.fppized cam_control_mod.fppized cam_logfile.fppized camsrfexch_types.fppized commap.fppized constituents.fppized dp_coupling.fppized dyn_comp.fppized dyn_internal_state.fppized dynamics_vars.fppized fv_control_mod.fppized fv_prints.fppized hycoef.fppized mpishorthand.fppized perf_mod.fppized phys_buffer.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), stratiform.fppized): stratiform.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized chemistry.fppized cldwat.fppized cldwat2m_macro.fppized cldwat2m_micro.fppized cloud_fraction.fppized constituents.fppized convect_shallow.fppized dycore.fppized microp_aero.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized physics_types.fppized pkg_cld_sediment.fppized pkg_cldoptics.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), sulchem.fppized): sulchem.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized perf_mod.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), sw_core.fppized): sw_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized pft_module.fppized shr_kind_mod.fppized tp_core.fppized) $(addsuffix $(OBJ), te_map.fppized): te_map.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized mapz_module.fppized mod_comm.fppized phys_control.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), tidal_diag.fppized): tidal_diag.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized physics_types.fppized ppgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), time_manager.fppized): time_manager.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized abortutils.fppized cam_logfile.fppized dycore.fppized mpishorthand.fppized pio.fppized shr_cal_mod.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized) $(addsuffix $(OBJ), time_utils.fppized): time_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), tp_core.fppized): tp_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), tphysac.fppized): tphysac.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerosol_intr.fppized aoa_tracers.fppized cam_control_mod.fppized cam_diagnostics.fppized cam_logfile.fppized camsrfexch_types.fppized check_energy.fppized chemistry.fppized constituents.fppized dycore.fppized flux_avg.fppized gw_drag.fppized iondrag.fppized ionosphere.fppized majorsp_diffusion.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized rayleigh_friction.fppized shr_kind_mod.fppized time_manager.fppized tracers.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), tphysbc.fppized): tphysbc.fppized.f90 $(addsuffix $(OBJ),$(basename ) aerosol_intr.fppized cam_diagnostics.fppized cam_history.fppized camsrfexch_types.fppized check_energy.fppized cloud_diagnostics.fppized constituents.fppized convect_deep.fppized convect_shallow.fppized dycore.fppized macrop_driver.fppized microp_driver.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized radiation.fppized shr_kind_mod.fppized sslt_rebin.fppized stratiform.fppized time_manager.fppized tropopause.fppized) $(addsuffix $(OBJ), tphysidl.fppized): tphysidl.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized check_energy.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), trac2d.fppized): trac2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized dynamics_vars.fppized fill_module.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized tp_core.fppized) $(addsuffix $(OBJ), tracer_data.fppized): tracer_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_logfile.fppized cam_pio_utils.fppized dycore.fppized dyn_grid.fppized horizontal_interpolate.fppized interpolate_data.fppized ioFileMod.fppized mo_constants.fppized mo_util.fppized mpishorthand.fppized perf_mod.fppized phys_buffer.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio.fppized polar_avg.fppized ppgrid.fppized ref_pres.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), tracers.fppized): tracers.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized constituents.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized tracers_suite.fppized) $(addsuffix $(OBJ), tracers_suite.fppized): tracers_suite.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), trb_mtn_stress.fppized): trb_mtn_stress.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), tropopause.fppized): tropopause.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized dyn_grid.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), tsinti.fppized): tsinti.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), units.fppized): units.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized shr_file_mod.fppized) $(addsuffix $(OBJ), upper_bc.fppized): upper_bc.fppized.f90 $(addsuffix $(OBJ),$(basename ) physics_types.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), uv3s_update.fppized): uv3s_update.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), uw_conv.fppized): uw_conv.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized error_function.fppized) $(addsuffix $(OBJ), uwshcu.fppized): uwshcu.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized error_function.fppized mpishorthand.fppized namelist_utils.fppized ppgrid.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), vertical_diffusion.fppized): vertical_diffusion.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized diffusion_solver.fppized eddy_diff.fppized geopotential.fppized hb_diff.fppized infnan.fppized molec_diff.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized trb_mtn_stress.fppized wv_saturation.fppized) $(addsuffix $(OBJ), virtem.fppized): virtem.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), vrtmap.fppized): vrtmap.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized srchutil.fppized) $(addsuffix $(OBJ), wetdep.fppized): wetdep.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized phys_control.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), wrap_mpi.fppized): wrap_mpi.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized perf_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), wrap_nf.fppized): wrap_nf.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), wrf_error_fatal.fppized): wrf_error_fatal.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_sys_mod.fppized) $(addsuffix $(OBJ), wv_saturation.fppized): wv_saturation.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), xpavg_mod.fppized): xpavg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), zenith.fppized): zenith.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized shr_kind_mod.fppized shr_orb_mod.fppized) $(addsuffix $(OBJ), zm_conv.fppized): zm_conv.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cldwat.fppized constituents.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_control.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), zm_conv_intr.fppized): zm_conv_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized check_energy.fppized constituents.fppized error_messages.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized zm_conv.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/build/build_base_x86_64-m64.0000/Makefile.cam4_s.spec': TUNE=base LABEL=x86_64-m64 NUMBER=627 NAME=cam4_s SOURCES= ESMF_BaseMod.F90 ESMF_BaseTimeMod.F90 ESMF_FractionMod.F90 \ ESMF_CalendarMod.F90 ESMF_TimeIntervalMod.F90 ESMF_Stubs.F90 \ ESMF_TimeMod.F90 ESMF_AlarmMod.F90 ESMF_ClockMod.F90 \ ESMF_AlarmClockMod.F90 ESMF_Mod.F90 cam_logfile.F90 \ debugutilitiesmodule.F90 decompmodule.F90 shr_kind_mod.F90 \ ghostmodule.F90 perf_utils.F90 shr_log_mod.F90 shr_mpi_mod.F90 \ shr_sys_mod.F90 shr_file_mod.F90 string_utils.F90 namelist_utils.F90 \ perf_mod.F90 mod_comm.F90 parutilitiesmodule.F90 mpishorthand.F90 \ abortutils.F90 units.F90 spmd_utils.F90 fv_control_mod.F90 \ pft_module.F90 dynamics_vars.F90 FVperf_module.F90 GPTLget_memusage.c \ GPTLprint_memusage.c GPTLutil.c MISR_simulator.F90 Meat.F90 pmgrid.F90 \ dycore.F90 pio_kinds.F90 pio_support.F90 pio_types.F90 pio_msg_mod.F90 \ alloc_mod.F90 pio_utils.F90 nf_mod.F90 pionfput_mod.F90 pionfatt_mod.F90 \ pio_spmd_utils.F90 calcdisplace_mod.F90 box_rearrange.F90 rearrange.F90 \ pionfread_mod.F90 iompi_mod.F90 pionfwrite_mod.F90 piodarray.F90 \ ionf_mod.F90 calcdecomp.F90 pio_mpi_utils.F90 piolib_mod.F90 \ pionfget_mod.F90 pio.F90 shr_timer_mod.F90 shr_string_mod.F90 \ shr_const_mod.F90 shr_cal_mod.F90 time_manager.F90 ppgrid.F90 \ physconst.F90 constituents.F90 commap.F90 infnan.F90 pspect.F90 \ rgrid.F90 spmd_dyn.F90 hycoef.F90 dyn_grid.F90 m_chars.F90 m_flow.F90 \ m_stdio.F90 m_mpif.F90 m_realkinds.F90 m_mpif90.F90 m_dropdead.F90 \ m_ioutil.F90 m_mpout.F90 m_die.F90 m_MergeSorts.F90 phys_grid.F90 \ ioFileMod.F90 cam_history_support.F90 cam_control_mod.F90 m_mall.F90 \ m_String.F90 m_rankMerge.F90 m_IndexBin_logical.F90 m_Permuter.F90 \ m_IndexBin_char.F90 m_IndexBin_integer.F90 m_SortingTools.F90 m_List.F90 \ m_TraceBack.F90 m_AttrVect.F90 m_GlobalMap.F90 m_FcComms.F90 \ m_MCTWorld.F90 m_GlobalSegMap.F90 m_AttrVectComms.F90 m_SparseMatrix.F90 \ m_SparseMatrixDecomp.F90 m_SparseMatrixComms.F90 m_Navigator.F90 \ m_GlobalToLocal.F90 m_SparseMatrixToMaps.F90 m_ConvertMaps.F90 \ m_ExchangeMaps.F90 m_Router.F90 m_Rearranger.F90 m_SparseMatrixPlus.F90 \ m_GeneralGrid.F90 m_Transfer.F90 m_inpak90.F90 m_GlobalSegMapComms.F90 \ m_Accumulator.F90 m_MatAttrVectMul.F90 m_GeneralGridComms.F90 \ mct_mod.F90 seq_comm_mct.F90 seq_drydep_mod.F90 seq_flds_mod.F90 \ seq_io_mod.F90 cam_pio_utils.F90 sat_hist.F90 solar_data.F90 \ shr_orb_mod.F90 shr_tInterp_mod.F90 shr_stream_mod.F90 shr_pcdf_mod.F90 \ shr_mct_mod.F90 shr_map_mod.F90 shr_ncread_mod.F90 shr_dmodel_mod.F90 \ shr_strdata_mod.F90 shr_scam_mod.F90 wrap_nf.F90 scamMod.F90 \ error_messages.F90 interpolate_data.F90 geopotential.F90 ref_pres.F90 \ phys_control.F90 physics_types.F90 time_utils.F90 repro_sum_mod.F90 \ phys_gmean.F90 mo_constants.F90 m_types.F90 mo_flbc.F90 \ chem_surfvals.F90 cam_history_buffers.F90 filenames.F90 cosp_share.F90 \ interp_mod.F90 cam_history.F90 advect_tend.F90 phys_buffer.F90 \ ghg_data.F90 radconstants.F90 radae.F90 quicksort.F90 radlw.F90 \ pkg_cldoptics.F90 phys_debug_util.F90 conv_water.F90 param_cldoptics.F90 \ mo_util.F90 rad_solar_var.F90 cmparray_mod.F90 radsw.F90 phys_prop.F90 \ rad_constituents.F90 wv_saturation.F90 modal_aer_opt.F90 \ aer_rad_props.F90 camsrfexch_types.F90 radiation_data.F90 \ cosp_constants.F90 cosp_utils.F90 radar_simulator_types.f90 \ cosp_types.F90 modis_simulator.F90 cosp_modis_simulator.F90 \ cosp_lidar.F90 cosp_misr_simulator.F90 llnl_stats.F90 lmd_ipsl_stats.F90 \ cosp_stats.F90 atmos_lib.f90 mrgrnk.f90 array_lib.f90 format_input.f90 \ math_lib.f90 optics_lib.f90 cosp_radar.F90 cosp_isccp_simulator.F90 \ cosp_simulator.F90 cosp.F90 cospsimulator_intr.F90 chemistry.F90 \ radheat.F90 radiation.F90 horizontal_interpolate.F90 polar_avg.F90 \ tracer_data.F90 prescribed_ghg.F90 prescribed_aero.F90 \ trb_mtn_stress.F90 phys_debug.F90 hb_diff.F90 upper_bc.F90 \ molec_diff.F90 diffusion_solver.F90 eddy_diff.F90 vertical_diffusion.F90 \ boundarydata.F90 cam3_ozone_data.F90 aoa_tracers.F90 iondrag.F90 \ cam3_aero_data.F90 tracers_suite.F90 tracers.F90 tropopause.F90 \ prescribed_volcaero.F90 prescribed_ozone.F90 aircraft_emit.F90 \ modal_aero_deposition.F90 aerodep_flx.F90 advnce.F90 drydep_mod.F90 \ wetdep.F90 dust_sediment_mod.F90 dust_intr.F90 progseasalts_intr.F90 \ scyc.F90 aerosol_intr.F90 history_scam.F90 xpavg_mod.F90 ncdio_atm.F90 \ metdata.F90 co2_data_flux.F90 co2_cycle.F90 buffer.F90 comsrf.F90 \ restart_physics.F90 pfixer.F90 ctem.F90 diag_module.F90 dyn_comp.F90 \ dyn_internal_state.F90 restart_dynamics.F90 cam_restart.F90 \ readinitial.F90 history_defaults.F90 error_function.F90 uw_conv.F90 \ uwshcu.F90 cldwat.F90 zm_conv.F90 hk_conv.F90 convect_shallow.F90 \ cloud_fraction.F90 cldwat2m_macro.F90 ndrop.F90 cldwat2m_micro.F90 \ microp_aero.F90 microp_driver.F90 pkg_cld_sediment.F90 stratiform.F90 \ inidat.F90 startup_initialconds.F90 inital.F90 check_energy.F90 \ dp_coupling.F90 fv_prints.F90 stepon.F90 ionosphere.F90 \ cloud_diagnostics.F90 cloud_rad_props.F90 tidal_diag.F90 \ constituent_burden.F90 cam_diagnostics.F90 flux_avg.F90 \ macrop_driver.F90 gw_drag.F90 zm_conv_intr.F90 convect_deep.F90 \ rayleigh_friction.F90 majorsp_diffusion.F90 sslt_rebin.F90 physpkg.F90 \ cam_comp.F90 seq_infodata_mod.F90 seq_cdata_mod.F90 runtime_opts.F90 \ cam_cpl_indices.F90 seq_timemgr_mod.F90 atm_comp_mct.F90 benergy.F90 \ binary_io.F90 bnddyi.F90 seq_flds_indices.F90 seq_diag_mct.F90 \ seq_rearr_mod.F90 map_ocnocn_mct.F90 mrg_x2s_mct.F90 ice_comp_mct.F90 \ mrg_x2o_mct.F90 seq_avdata_mod.F90 seq_hist_mod.F90 mrg_x2a_mct.F90 \ map_iceocn_mct.F90 seq_map_mod.F90 map_atmlnd_mct.F90 map_atmice_mct.F90 \ map_snoglc_mct.F90 map_atmocn_mct.F90 seq_domain_mct.F90 \ seq_rest_mod.F90 mrg_x2l_mct.F90 seq_frac_mct.F90 shr_flux_mod.F90 \ seq_flux_mct.F90 map_lndlnd_mct.F90 map_iceice_mct.F90 \ map_glcglc_mct.F90 lnd_comp_mct.F90 ocn_types.F90 ocn_comp.F90 \ ocn_comp_mct.F90 map_rofrof_mct.F90 map_atmatm_mct.F90 \ map_rofocn_mct.F90 shr_mem_mod.F90 map_snosno_mct.F90 mrg_x2g_mct.F90 \ mrg_x2i_mct.F90 glc_comp_mct.F90 ccsm_comp_mod.F90 ccsm_driver.F90 \ tp_core.F90 sw_core.F90 cd_core.F90 cldsav.F90 collective.c comm.c \ comspe.F90 cpslec.F90 d2a3dijk.F90 d2a3dikj.F90 dadadj.F90 datetime.F90 \ diag_dynvar_ic.F90 mean_module.F90 dryairm.F90 dsd.f90 mapz_module.F90 \ epvd.F90 esinti.F90 f_wrappers.c fft99.F90 fill_module.F90 fort.F90 \ gases.f90 gauaw_mod.F90 geopk.F90 get_zeits.c gffgch.F90 gptl.c \ gptl_papi.c group.c handles.c hirsbtpar.f90 hirsbt.f90 icarus.F90 \ initcom.F90 initindx.F90 intp_util.F90 iop_surf.F90 lidar_simulator.F90 \ list.c load_hydrometeor_classes.f90 m_AccumulatorComms.F90 \ m_AttrVectReduce.F90 m_StrTemplate.F90 m_FileResolv.F90 m_Filename.F90 \ m_Merge.F90 m_SpatialIntegralV.F90 m_SpatialIntegral.F90 m_zeit.F90 \ marsaglia.F90 mcshallow.F90 pio_quicksort.F90 mct_rearrange.F90 \ miesubs.F90 mo_msis_ubc.F90 mo_regrider.F90 mo_solar_parms.F90 mpi.c \ msise00.F90 p_d_adjust.F90 pack.c par_vecsum.F90 par_xsum.F90 \ pf_to_mr.F90 pio_msg_callbacks.F90 pio_msg_getput_callbacks.F90 \ pio_nf_utils.F90 pkez.F90 prec_scops.F90 puminterfaces.F90 qneg3.F90 \ qneg4.F90 radar_simulator.f90 recv.c redistributemodule.F90 req.c \ scops.F90 send.c sgexx.F90 shr_jlcp.c shr_msg_mod.F90 shr_vmath_fwrap.c \ shr_vmath_mod.F90 srchutil.F90 srfxfer.F90 sulchem.F90 te_map.F90 \ threadutil.c time.c topology.c tphysac.F90 tphysbc.F90 tphysidl.F90 \ trac2d.F90 trunc.F90 tsinti.F90 uv3s_update.F90 virtem.F90 vrtmap.F90 \ wrap_mpi.F90 wrf_error_fatal.F90 wrf_message.F90 zeff.f90 zenith.F90 \ netcdf/attr.c netcdf/dim.c netcdf/error.c netcdf/fort-attio.c \ netcdf/fort-control.c netcdf/fort-dim.c netcdf/fort-genatt.c \ netcdf/fort-geninq.c netcdf/fort-genvar.c netcdf/fort-lib.c \ netcdf/fort-misc.c netcdf/fort-v2compat.c netcdf/fort-var1io.c \ netcdf/fort-varaio.c netcdf/fort-vario.c netcdf/fort-varmio.c \ netcdf/fort-varsio.c netcdf/libvers.c netcdf/nc.c netcdf/ncx.c \ netcdf/posixio.c netcdf/putget.c netcdf/string.c netcdf/v1hpg.c \ netcdf/v2i.c netcdf/var.c netcdf/typeSizes.f90 netcdf/netcdf.f90 \ spec_qsort/spec_qsort.c EXEBASE=cam4_s NEED_MATH= BENCHLANG=F C BENCH_CFLAGS = -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 BENCH_FFLAGS = -I. -Iinclude -Inetcdf/include BENCH_FPPFLAGS = -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -O2 -mtune=generic OS = unix PORTABILITY = -DSPEC_CASE_FLAG SPECLANG = /usr/bin/ absolutely_no_locking = 0 action = build allow_label_override = 0 backup_config = 1 baseexe = cam4_s basepeak = 0 benchdir = benchspec benchmark = 627.cam4_s bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = cam4_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = 1 configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = cam4_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 627 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/527.cam4_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.906000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = cam4_validate.txt Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/build/build_base_x86_64-m64.0000/Makefile.cam4_validate_627.spec': TUNE=base LABEL=x86_64-m64 NUMBER=627 NAME=cam4_s SOURCES= validate-cam.f90 netcdf/attr.c netcdf/dim.c netcdf/error.c \ netcdf/fort-attio.c netcdf/fort-control.c netcdf/fort-dim.c \ netcdf/fort-genatt.c netcdf/fort-geninq.c netcdf/fort-genvar.c \ netcdf/fort-lib.c netcdf/fort-misc.c netcdf/fort-v2compat.c \ netcdf/fort-var1io.c netcdf/fort-varaio.c netcdf/fort-vario.c \ netcdf/fort-varmio.c netcdf/fort-varsio.c netcdf/libvers.c netcdf/nc.c \ netcdf/ncx.c netcdf/posixio.c netcdf/putget.c netcdf/string.c \ netcdf/v1hpg.c netcdf/v2i.c netcdf/var.c netcdf/typeSizes.f90 \ netcdf/netcdf.f90 spec_qsort/spec_qsort.c EXEBASE=cam4_validate_627 NEED_MATH= BENCHLANG=F C BENCH_CFLAGS = -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 BENCH_FFLAGS = -I. -Iinclude -Inetcdf/include BENCH_FPPFLAGS = -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -O2 -mtune=generic OS = unix PORTABILITY = -DSPEC_CASE_FLAG SPECLANG = /usr/bin/ absolutely_no_locking = 0 action = build allow_label_override = 0 backup_config = 1 baseexe = cam4_s basepeak = 0 benchdir = benchspec benchmark = 627.cam4_s bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = cam4_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = 1 configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = cam4_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 627 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/527.cam4_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.906000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = cam4_validate.txt Compile for '627.cam4_s' started at: 2021-07-08 15:00:39 (1625770839) Issuing make.clean.cam4_s command 'specmake --output-sync --jobs=1 clean TARGET=cam4_s' Start make.clean.cam4_s command: 2021-07-08 15:00:39 (1625770839.16991) Stop make.clean.cam4_s command: 2021-07-08 15:00:39 (1625770839.33551) Elapsed time for make.clean.cam4_s command: 00:00:00 (0.165602207183838) Issuing make.clean.cam4_validate_627 command 'specmake --output-sync --jobs=1 clean TARGET=cam4_validate_627' Start make.clean.cam4_validate_627 command: 2021-07-08 15:00:39 (1625770839.33888) Stop make.clean.cam4_validate_627 command: 2021-07-08 15:00:39 (1625770839.50509) Elapsed time for make.clean.cam4_validate_627 command: 00:00:00 (0.166205883026123) Issuing make.cam4_s command 'specmake --output-sync --jobs=1 build TARGET=cam4_s' Start make.cam4_s command: 2021-07-08 15:00:39 (1625770839.50889) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_BaseMod.F90 -o ESMF_BaseMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_BaseMod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ESMF_BaseMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_BaseTimeMod.F90 -o ESMF_BaseTimeMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_BaseTimeMod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ESMF_BaseTimeMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_FractionMod.F90 -o ESMF_FractionMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_FractionMod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ESMF_FractionMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_CalendarMod.F90 -o ESMF_CalendarMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_CalendarMod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ESMF_CalendarMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_TimeIntervalMod.F90 -o ESMF_TimeIntervalMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_TimeIntervalMod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ESMF_TimeIntervalMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_Stubs.F90 -o ESMF_Stubs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Stubs.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ESMF_Stubs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_TimeMod.F90 -o ESMF_TimeMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_TimeMod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ESMF_TimeMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_AlarmMod.F90 -o ESMF_AlarmMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_AlarmMod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ESMF_AlarmMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_ClockMod.F90 -o ESMF_ClockMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_ClockMod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ESMF_ClockMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_AlarmClockMod.F90 -o ESMF_AlarmClockMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_AlarmClockMod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ESMF_AlarmClockMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_Mod.F90 -o ESMF_Mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ESMF_Mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_logfile.F90 -o cam_logfile.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_logfile.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cam_logfile.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 debugutilitiesmodule.F90 -o debugutilitiesmodule.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o debugutilitiesmodule.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp debugutilitiesmodule.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 decompmodule.F90 -o decompmodule.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o decompmodule.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp decompmodule.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_kind_mod.F90 -o shr_kind_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_kind_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_kind_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ghostmodule.F90 -o ghostmodule.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ghostmodule.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ghostmodule.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 perf_utils.F90 -o perf_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o perf_utils.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp perf_utils.fppized.f90 perf_utils.fppized.f90:672:18: 672 | call MPI_BCAST(vec,lsize,MPI_INTEGER,0,comm,ierr) | 1 ...... 704 | call MPI_BCAST(vec,lsize,MPI_LOGICAL,0,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_log_mod.F90 -o shr_log_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_log_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_log_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_mpi_mod.F90 -o shr_mpi_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_mpi_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_mpi_mod.fppized.f90 shr_mpi_mod.fppized.f90:2151:24: 2151 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 1 ...... 2208 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:2154:21: 2154 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 1 ...... 2211 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:2094:24: 2094 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_INTEGER,reduce_type,comm,ierr) | 1 ...... 2208 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:2097:21: 2097 | call MPI_REDUCE(lvec,gvec,gsize,MPI_INTEGER,reduce_type,0,comm,ierr) | 1 ...... 2211 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:1923:24: 1923 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 1 ...... 2208 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1926:21: 1926 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 1 ...... 2211 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1581:24: 1581 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 1 ...... 2208 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1584:21: 1584 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 1 ...... 2211 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1097:18: 1097 | call MPI_BCAST(arr,lsize,MPI_INTEGER,lpebcast,comm,ierr) | 1 ...... 1135 | call MPI_BCAST(arr,lsize,MPI_REAL8,lpebcast,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:913:18: 913 | call MPI_BCAST(vec,lsize,MPI_REAL8,lpebcast,comm,ierr) | 1 ...... 1135 | call MPI_BCAST(arr,lsize,MPI_REAL8,lpebcast,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:663:17: 663 | call MPI_RECV(lvec,lsize,MPI_REAL8,pid,tag,comm,status,ierr) | 1 ...... 733 | call MPI_RECV(array,lsize,MPI_REAL8,pid,tag,comm,status,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:628:17: 628 | call MPI_RECV(lvec,lsize,MPI_INTEGER,pid,tag,comm,status,ierr) | 1 ...... 733 | call MPI_RECV(array,lsize,MPI_REAL8,pid,tag,comm,status,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:490:17: 490 | call MPI_SEND(lvec,lsize,MPI_REAL8,pid,tag,comm,ierr) | 1 ...... 558 | call MPI_SEND(array,lsize,MPI_REAL8,pid,tag,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:456:17: 456 | call MPI_SEND(lvec,lsize,MPI_INTEGER,pid,tag,comm,ierr) | 1 ...... 558 | call MPI_SEND(array,lsize,MPI_REAL8,pid,tag,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_sys_mod.F90 -o shr_sys_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_sys_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_sys_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_file_mod.F90 -o shr_file_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_file_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_file_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 string_utils.F90 -o string_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o string_utils.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp string_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 namelist_utils.F90 -o namelist_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o namelist_utils.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp namelist_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 perf_mod.F90 -o perf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o perf_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp perf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mod_comm.F90 -o mod_comm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_comm.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mod_comm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 parutilitiesmodule.F90 -o parutilitiesmodule.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o parutilitiesmodule.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp parutilitiesmodule.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mpishorthand.F90 -o mpishorthand.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mpishorthand.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mpishorthand.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 abortutils.F90 -o abortutils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o abortutils.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp abortutils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 units.F90 -o units.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o units.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp units.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 spmd_utils.F90 -o spmd_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o spmd_utils.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp spmd_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 fv_control_mod.F90 -o fv_control_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fv_control_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp fv_control_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pft_module.F90 -o pft_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pft_module.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pft_module.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dynamics_vars.F90 -o dynamics_vars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dynamics_vars.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp dynamics_vars.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 FVperf_module.F90 -o FVperf_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o FVperf_module.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp FVperf_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GPTLget_memusage.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GPTLget_memusage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GPTLprint_memusage.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GPTLprint_memusage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GPTLutil.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GPTLutil.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 MISR_simulator.F90 -o MISR_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o MISR_simulator.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp MISR_simulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 Meat.F90 -o Meat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Meat.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp Meat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pmgrid.F90 -o pmgrid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pmgrid.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pmgrid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dycore.F90 -o dycore.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dycore.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp dycore.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_kinds.F90 -o pio_kinds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o netcdf/typeSizes.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp netcdf/typeSizes.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o netcdf/netcdf.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp netcdf/netcdf.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_kinds.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pio_kinds.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_support.F90 -o pio_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_support.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pio_support.fppized.f90 pio_support.fppized.f90:271:30: 268 | call MPI_SEND(sdof,1,MPI_INTEGER,n,n,comm,ierr) | 2 ...... 271 | call MPI_SEND(wdof,sdof,MPI_INTEGER,n,npes+n,comm,ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_support.fppized.f90:284:24: 279 | call MPI_RECV(sdof,1,MPI_INTEGER,masterproc,myrank,comm,status,ierr) | 2 ...... 284 | call MPI_RECV(dof,sdof,MPI_INTEGER,masterproc,npes+myrank,comm,status,ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_support.fppized.f90:173:27: 173 | call MPI_SEND(dof,sdof,MPI_INTEGER,masterproc,n,comm,ierr) | 1 ...... 268 | call MPI_SEND(sdof,1,MPI_INTEGER,n,n,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_types.F90 -o pio_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_types.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pio_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_msg_mod.F90 -o pio_msg_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_msg_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pio_msg_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 alloc_mod.F90 -o alloc_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o alloc_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp alloc_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_utils.F90 -o pio_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_utils.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pio_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 nf_mod.F90 -o nf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp nf_mod.fppized.f90 nf_mod.fppized.f90:1504:22: 1499 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 1504 | call mpi_bcast(name, nlen, mpi_character, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(*)/INTEGER(4)). nf_mod.fppized.f90:1506:22: 1499 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 1506 | call mpi_bcast(dimids, vardesc%ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:941:28: 941 | call MPI_BCAST(dimids,size(dimids),MPI_INTEGER,0,ios%IO_comm, mpierr) | 1 ...... 1499 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:952:22: 952 | call MPI_BCAST(dimids,size_dimids,MPI_INTEGER,ios%IOMaster,ios%My_comm, mpierr) | 1 ...... 1499 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:217:28: 217 | call MPI_BCAST(vals,4,MPI_INTEGER,0,ios%IO_comm, mpierr) | 1 ...... 1499 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:231:22: 231 | call MPI_BCAST(vals,4,MPI_INTEGER,ios%IOMaster, ios%my_comm, mpierr) | 1 ...... 1499 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pionfput_mod.F90 -o pionfput_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfput_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pionfput_mod.fppized.f90 pionfput_mod.fppized.f90:4298:22: 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4298 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4299:22: 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4299 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4304:22: 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4304 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4309:22: 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4309 | call MPI_BCAST(ival,ilen*size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-5) pionfput_mod.fppized.f90:4215:22: 4215 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4216:22: 4216 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4221:22: 4221 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4226:22: 4226 | call MPI_BCAST(ival,ilen*size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-4) pionfput_mod.fppized.f90:4132:22: 4132 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4133:22: 4133 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4138:22: 4138 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4143:22: 4143 | call MPI_BCAST(ival,ilen*size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) pionfput_mod.fppized.f90:4049:22: 4049 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4050:22: 4050 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4055:22: 4055 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4060:22: 4060 | call MPI_BCAST(ival,ilen*size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) pionfput_mod.fppized.f90:3966:22: 3966 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3967:22: 3967 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3972:22: 3972 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3977:22: 3977 | call MPI_BCAST(ival,ilen*size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3883:22: 3883 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3884:22: 3884 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3889:22: 3889 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3894:22: 3894 | call MPI_BCAST(ival,ilen*size(ival),MPI_REAL8,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfput_mod.fppized.f90:3800:22: 3800 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3801:22: 3801 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3806:22: 3806 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3717:22: 3717 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3718:22: 3718 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3723:22: 3723 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3634:22: 3634 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3635:22: 3635 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3640:22: 3640 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3551:22: 3551 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3552:22: 3552 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3557:22: 3557 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3468:22: 3468 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3469:22: 3469 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3474:22: 3474 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3385:22: 3385 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3386:22: 3386 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3391:22: 3391 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3302:22: 3302 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3303:22: 3303 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3308:22: 3308 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3219:22: 3219 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3220:22: 3220 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3225:22: 3225 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3136:22: 3136 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3137:22: 3137 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3142:22: 3142 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3051:22: 3051 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3052:22: 3052 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3057:22: 3057 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2966:22: 2966 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2967:22: 2967 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2972:22: 2972 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2881:22: 2881 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2882:22: 2882 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2887:22: 2887 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2796:22: 2796 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2797:22: 2797 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2802:22: 2802 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2711:22: 2711 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2712:22: 2712 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2717:22: 2717 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2159:22: 2159 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2163:22: 2163 | call MPI_BCAST(ival,size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-5) pionfput_mod.fppized.f90:2088:22: 2088 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2092:22: 2092 | call MPI_BCAST(ival,size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-4) pionfput_mod.fppized.f90:2017:22: 2017 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2021:22: 2021 | call MPI_BCAST(ival,size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) pionfput_mod.fppized.f90:1946:22: 1946 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1950:22: 1950 | call MPI_BCAST(ival,size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) pionfput_mod.fppized.f90:1875:22: 1875 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1879:22: 1879 | call MPI_BCAST(ival,size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1740:22: 1740 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1669:22: 1669 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1598:22: 1598 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1527:22: 1527 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1456:22: 1456 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1321:22: 1321 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1250:22: 1250 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1179:22: 1179 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1108:22: 1108 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1037:22: 1037 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:898:22: 898 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:823:22: 823 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:748:22: 748 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:673:22: 673 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:598:22: 598 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:363:22: 363 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:296:22: 296 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:229:22: 229 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:159:22: 159 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pionfatt_mod.F90 -o pionfatt_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfatt_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pionfatt_mod.fppized.f90 pionfatt_mod.fppized.f90:1318:22: 1314 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 1318 | call MPI_BCAST(name,len(name),MPI_CHARACTER,ios%CompMaster, ios%my_comm , mpierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(*)/INTEGER(4)). pionfatt_mod.fppized.f90:1260:28: 1260 | call MPI_BCAST(value,clen ,MPI_INTEGER ,0,Ios%IO_comm, mpierr) | 1 ...... 1314 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfatt_mod.fppized.f90:1273:22: 1273 | call MPI_BCAST(value, clen, MPI_INTEGER,Ios%iomaster,Ios%my_comm, mpierr) | 1 ...... 1314 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfatt_mod.fppized.f90:511:22: 511 | call MPI_BCAST(value, clen, MPI_INTEGER, ios%compmaster, ios%my_comm, mpierr) | 1 ...... 1314 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_spmd_utils.F90 -o pio_spmd_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_spmd_utils.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pio_spmd_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 calcdisplace_mod.F90 -o calcdisplace_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o calcdisplace_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp calcdisplace_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 box_rearrange.F90 -o box_rearrange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o box_rearrange.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp box_rearrange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 rearrange.F90 -o rearrange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rearrange.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp rearrange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pionfread_mod.F90 -o pionfread_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfread_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pionfread_mod.fppized.f90 pionfread_mod.fppized.f90:383:28: 375 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 ...... 383 | call MPI_SEND( iobuf_size,1,MPI_INTEGER, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:411:31: 387 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 ...... 411 | call MPI_RECV( iobuf_size, 1, MPI_INTEGER, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:242:28: 242 | call MPI_SEND( iobuf_size,1,MPI_INTEGER, & | 1 ...... 375 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:246:28: 246 | call MPI_RECV( IOBUF,size(IOBUF), & | 1 ...... 387 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfread_mod.fppized.f90:270:31: 270 | call MPI_RECV( iobuf_size, 1, MPI_INTEGER, & | 1 ...... 387 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:277:31: 277 | call MPI_SEND( IOBUF,iobuf_size, & | 1 ...... 375 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfread_mod.fppized.f90:101:28: 101 | call MPI_SEND( iobuf_size,1,MPI_INTEGER, & | 1 ...... 375 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:129:31: 129 | call MPI_RECV( iobuf_size, 1, MPI_INTEGER, & | 1 ...... 387 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 iompi_mod.F90 -o iompi_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o iompi_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp iompi_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pionfwrite_mod.F90 -o pionfwrite_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfwrite_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pionfwrite_mod.fppized.f90 pionfwrite_mod.fppized.f90:453:28: 448 | call MPI_SEND( temp_IOBUF,max_iobuf_size, & | 2 ...... 453 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). pionfwrite_mod.fppized.f90:480:34: 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 ...... 480 | call MPI_RECV( temp_iobuf, max_iobuf_size, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfwrite_mod.fppized.f90:486:34: 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 ...... 486 | call MPI_RECV( temp_start, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:492:34: 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 ...... 492 | call MPI_RECV( temp_count, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:311:34: 311 | call MPI_RECV( temp_iobuf, max_iobuf_size, & | 1 ...... 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:317:34: 317 | call MPI_RECV( temp_start, & | 1 ...... 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:323:34: 323 | call MPI_RECV( temp_count, & | 1 ...... 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:148:34: 148 | call MPI_RECV( temp_start, & | 1 ...... 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:154:34: 154 | call MPI_RECV( temp_count, & | 1 ...... 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 piodarray.F90 -o piodarray.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o piodarray.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp piodarray.fppized.f90 piodarray.fppized.f90:364:25: 364 | call mpi_bcast(fillval, 1, MPI_REAL8, ios%compmaster, ios%intercomm, ierr) | 1 ...... 1118 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ionf_mod.F90 -o ionf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ionf_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ionf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 calcdecomp.F90 -o calcdecomp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o calcdecomp.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp calcdecomp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_mpi_utils.F90 -o pio_mpi_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_mpi_utils.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pio_mpi_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 piolib_mod.F90 -o piolib_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o piolib_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp piolib_mod.fppized.f90 piolib_mod.fppized.f90:1699:22: 1699 | call mpi_bcast(myfname, len(fname), mpi_character, 0, iosystem%comp_comm, ierr) | 1 ...... 1827 | call mpi_bcast(file%fh, 1, mpi_integer, file%iosystem%compmaster, file%iosystem%intercomm, ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(360)/INTEGER(4)). piolib_mod.fppized.f90:812:22: 812 | call mpi_bcast(dims, size(dims), mpi_integer, iosystem%compmaster, iosystem%intercomm, ierr) | 1 ...... 1827 | call mpi_bcast(file%fh, 1, mpi_integer, file%iosystem%compmaster, file%iosystem%intercomm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pionfget_mod.F90 -o pionfget_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfget_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pionfget_mod.fppized.f90 pionfget_mod.fppized.f90:4214:22: 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4214 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:4231:28: 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4231 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-5) pionfget_mod.fppized.f90:4239:22: 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4239 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-5) pionfget_mod.fppized.f90:4148:22: 4148 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:4165:28: 4165 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-4) pionfget_mod.fppized.f90:4173:22: 4173 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-4) pionfget_mod.fppized.f90:4082:22: 4082 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:4099:28: 4099 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) pionfget_mod.fppized.f90:4107:22: 4107 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) pionfget_mod.fppized.f90:4016:22: 4016 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:4033:28: 4033 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) pionfget_mod.fppized.f90:4041:22: 4041 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) pionfget_mod.fppized.f90:3950:22: 3950 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3967:28: 3967 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3975:22: 3975 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3825:22: 3825 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3842:28: 3842 | call MPI_BCAST(ival,int(isize), MPI_REAL8 ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfget_mod.fppized.f90:3759:22: 3759 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3693:22: 3693 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3627:22: 3627 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3561:22: 3561 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3436:22: 3436 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3370:22: 3370 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3304:22: 3304 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3238:22: 3238 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3172:22: 3172 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3046:22: 3046 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2976:22: 2976 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2906:22: 2906 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2836:22: 2836 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2766:22: 2766 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2121:22: 2121 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2122:22: 2122 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2127:22: 2127 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2147:28: 2147 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-5) pionfget_mod.fppized.f90:2156:22: 2156 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-5) pionfget_mod.fppized.f90:2035:22: 2035 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2036:22: 2036 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2041:22: 2041 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2061:28: 2061 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-4) pionfget_mod.fppized.f90:2070:22: 2070 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-4) pionfget_mod.fppized.f90:1949:22: 1949 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1950:22: 1950 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1955:22: 1955 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1975:28: 1975 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) pionfget_mod.fppized.f90:1984:22: 1984 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) pionfget_mod.fppized.f90:1863:22: 1863 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1864:22: 1864 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1869:22: 1869 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1889:28: 1889 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) pionfget_mod.fppized.f90:1898:22: 1898 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) pionfget_mod.fppized.f90:1777:22: 1777 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1778:22: 1778 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1783:22: 1783 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1803:28: 1803 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1812:22: 1812 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1691:22: 1691 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1692:22: 1692 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1697:22: 1697 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1605:22: 1605 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1606:22: 1606 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1611:22: 1611 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1519:22: 1519 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1520:22: 1520 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1525:22: 1525 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1433:22: 1433 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1434:22: 1434 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1439:22: 1439 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1347:22: 1347 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1348:22: 1348 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1353:22: 1353 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1261:22: 1261 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1262:22: 1262 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1267:22: 1267 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1175:22: 1175 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1176:22: 1176 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1181:22: 1181 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1089:22: 1089 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1090:22: 1090 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1095:22: 1095 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1003:22: 1003 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1004:22: 1004 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1009:22: 1009 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:917:22: 917 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:918:22: 918 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:923:22: 923 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:830:22: 830 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:831:22: 831 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:836:22: 836 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:743:22: 743 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:744:22: 744 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:749:22: 749 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:656:22: 656 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:657:22: 657 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:662:22: 662 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:569:22: 569 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:570:22: 570 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:575:22: 575 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:482:22: 482 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:483:22: 483 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:488:22: 488 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:318:22: 318 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:257:22: 257 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:196:22: 196 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:135:22: 135 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio.F90 -o pio.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pio.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_timer_mod.F90 -o shr_timer_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_timer_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_timer_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_string_mod.F90 -o shr_string_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_string_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_string_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_const_mod.F90 -o shr_const_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_const_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_const_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_cal_mod.F90 -o shr_cal_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_cal_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_cal_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 time_manager.F90 -o time_manager.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o time_manager.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp time_manager.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ppgrid.F90 -o ppgrid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ppgrid.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ppgrid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 physconst.F90 -o physconst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o physconst.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp physconst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 constituents.F90 -o constituents.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o constituents.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp constituents.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 commap.F90 -o commap.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o commap.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp commap.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 infnan.F90 -o infnan.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o infnan.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp infnan.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pspect.F90 -o pspect.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pspect.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pspect.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 rgrid.F90 -o rgrid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rgrid.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp rgrid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 spmd_dyn.F90 -o spmd_dyn.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o spmd_dyn.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp spmd_dyn.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 hycoef.F90 -o hycoef.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hycoef.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp hycoef.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dyn_grid.F90 -o dyn_grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dyn_grid.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp dyn_grid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_chars.F90 -o m_chars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_chars.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_chars.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_flow.F90 -o m_flow.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_flow.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_flow.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_stdio.F90 -o m_stdio.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_stdio.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_stdio.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_mpif.F90 -o m_mpif.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mpif.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_mpif.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_realkinds.F90 -o m_realkinds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_realkinds.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_realkinds.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_mpif90.F90 -o m_mpif90.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mpif90.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_mpif90.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_dropdead.F90 -o m_dropdead.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_dropdead.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_dropdead.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_ioutil.F90 -o m_ioutil.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_ioutil.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_ioutil.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_mpout.F90 -o m_mpout.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mpout.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_mpout.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_die.F90 -o m_die.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_die.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_die.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_MergeSorts.F90 -o m_MergeSorts.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_MergeSorts.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_MergeSorts.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_grid.F90 -o phys_grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_grid.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp phys_grid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ioFileMod.F90 -o ioFileMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ioFileMod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ioFileMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_history_support.F90 -o cam_history_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_history_support.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cam_history_support.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_control_mod.F90 -o cam_control_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_control_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cam_control_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_mall.F90 -o m_mall.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mall.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_mall.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_String.F90 -o m_String.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_String.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_String.fppized.f90 m_String.fppized.f90:475:17: 454 | call MPI_bcast(ln,1,MP_INTEGER,root,comm,ier) | 2 ...... 475 | call MPI_bcast(Str%c(1),ln,MP_CHARACTER,root,comm,ier) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(1)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_rankMerge.F90 -o m_rankMerge.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_rankMerge.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_rankMerge.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_IndexBin_logical.F90 -o m_IndexBin_logical.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_IndexBin_logical.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_IndexBin_logical.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Permuter.F90 -o m_Permuter.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Permuter.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_Permuter.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_IndexBin_char.F90 -o m_IndexBin_char.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_IndexBin_char.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_IndexBin_char.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_IndexBin_integer.F90 -o m_IndexBin_integer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_IndexBin_integer.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_IndexBin_integer.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SortingTools.F90 -o m_SortingTools.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SortingTools.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_SortingTools.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_List.F90 -o m_List.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_List.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_List.fppized.f90 m_List.fppized.f90:1937:15: 1919 | call MPI_RECV(length, 1, MP_type(length), source, TagBase, comm, & | 2 ...... 1937 | call MPI_RECV(DummStr%c(1), length, MP_CHARACTER, source, TagBase+1, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(1)/INTEGER(4)). m_List.fppized.f90:1838:15: 1823 | call MPI_SEND(length, 1, MP_type(length), dest, TagBase, comm, ierr) | 2 ...... 1838 | call MPI_SEND(DummStr%c(1), length, MP_CHARACTER, dest, TagBase+1, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(1)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_TraceBack.F90 -o m_TraceBack.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_TraceBack.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_TraceBack.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_AttrVect.F90 -o m_AttrVect.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AttrVect.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_AttrVect.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_GlobalMap.F90 -o m_GlobalMap.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalMap.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_GlobalMap.fppized.f90 m_GlobalMap.fppized.f90:377:17: 354 | call MPI_bcast(nPEs, 1, MP_INTEGER, my_root, my_comm, ier) | 2 ...... 377 | call MPI_bcast(GMap%counts, nPEs, MP_INTEGER, my_root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalMap.fppized.f90:257:17: 257 | call MPI_bcast(GMap%counts, nPEs, MP_INTEGER, root, comm, ier) | 1 ...... 354 | call MPI_bcast(nPEs, 1, MP_INTEGER, my_root, my_comm, ier) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_FcComms.F90 -o m_FcComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_FcComms.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_FcComms.fppized.f90 m_FcComms.fppized.f90:420:33: 420 | call mpi_irecv ( recvbuf(displs(q)+1), recvcnts(q), & | 1 ...... 563 | call mpi_irecv ( recvbuf(displs(q)+1), recvcnts(q), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:423:32: 423 | call mpi_send ( signal, 1, recvtype, p, mtag, comm, ier ) | 1 ...... 566 | call mpi_send ( signal, 1, recvtype, p, mtag, comm, ier ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:442:26: 442 | call mpi_recv ( signal, 1, sendtype, root, mtag, comm, & | 1 ...... 585 | call mpi_recv ( signal, 1, sendtype, root, mtag, comm, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:444:27: 444 | call mpi_rsend ( sendbuf, sendcnt, sendtype, root, mtag, & | 1 ...... 587 | call mpi_rsend ( sendbuf, sendcnt, sendtype, root, mtag, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:455:22: 455 | call mpi_gatherv (sendbuf, sendcnt, sendtype, & | 1 ...... 598 | call mpi_gatherv (sendbuf, sendcnt, sendtype, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:171:21: 171 | call mpi_gather (sendbuf, sendcnt, sendtype, & | 1 ...... 313 | call mpi_gather (sendbuf, sendcnt, sendtype, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_MCTWorld.F90 -o m_MCTWorld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_MCTWorld.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_MCTWorld.fppized.f90 m_MCTWorld.fppized.f90:323:22: 263 | call MPI_SEND(mysize,1,MP_INTEGER,0,myids(i),globalcomm,ier) | 2 ...... 323 | call MPI_SEND(Gprocids,mysize,MP_INTEGER,0,myids(i),globalcomm,ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_GlobalSegMap.F90 -o m_GlobalSegMap.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalSegMap.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_GlobalSegMap.fppized.f90 m_GlobalSegMap.fppized.f90:549:17: 520 | call MPI_BCAST(GSMap%ngseg, 1, MP_INTEGER, root, my_comm, ier) | 2 ...... 549 | call MPI_BCAST(GSMap%start, GSMap%ngseg, MP_INTEGER, root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMap.fppized.f90:552:17: 520 | call MPI_BCAST(GSMap%ngseg, 1, MP_INTEGER, root, my_comm, ier) | 2 ...... 552 | call MPI_BCAST(GSMap%length, GSMap%ngseg, MP_INTEGER, root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMap.fppized.f90:555:17: 520 | call MPI_BCAST(GSMap%ngseg, 1, MP_INTEGER, root, my_comm, ier) | 2 ...... 555 | call MPI_BCAST(GSMap%pe_loc, GSMap%ngseg, MP_INTEGER, root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_AttrVectComms.F90 -o m_AttrVectComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AttrVectComms.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_AttrVectComms.fppized.f90 m_AttrVectComms.fppized.f90:1657:20: 1570 | call MPI_bcast(nIA,1,MP_INTEGER,root,comm,ier) | 2 ...... 1657 | call MPI_bcast(aV%iAttr,nIA*lsize,mp_Type_aV,root,comm,ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) m_AttrVectComms.fppized.f90:1669:20: 1570 | call MPI_bcast(nIA,1,MP_INTEGER,root,comm,ier) | 2 ...... 1669 | call MPI_bcast(aV%rAttr,nRA*lsize,mp_Type_aV,root,comm,ier) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_AttrVectComms.fppized.f90:1070:26: 1041 | call MPI_scatterv(iV%iAttr(1,1),GMap%counts*nIA, & | 2 ...... 1070 | call MPI_scatterv(iV%rAttr(1,1),GMap%counts*nRA, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_AttrVectComms.fppized.f90:356:16: 319 | call MPI_RECV(ListAssoc, 2, MP_LOGICAL, dest, TagBase, comm, & | 2 ...... 356 | call MPI_RECV(AVlength, 1, MP_type(AVlength), dest, TagBase+5, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). m_AttrVectComms.fppized.f90:206:16: 163 | call MPI_SEND(ListAssoc, 2, MP_LOGICAL, dest, TagBase, comm, ierr) | 2 ...... 206 | call MPI_SEND(AVlength, 1, MP_type(AVlength), dest, TagBase+5, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrix.F90 -o m_SparseMatrix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrix.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_SparseMatrix.fppized.f90 m_SparseMatrix.fppized.f90:2374:21: 2344 | call MPI_ALLREDUCE(end_row, num_rows, 1, MP_INTEGER, MP_MAX, & | 2 ...... 2374 | call MPI_ALLREDUCE(lsums, gsums, num_rows, mp_Type_lsums, MP_SUM, comm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixDecomp.F90 -o m_SparseMatrixDecomp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixDecomp.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_SparseMatrixDecomp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixComms.F90 -o m_SparseMatrixComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixComms.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_SparseMatrixComms.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Navigator.F90 -o m_Navigator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Navigator.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_Navigator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_GlobalToLocal.F90 -o m_GlobalToLocal.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalToLocal.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_GlobalToLocal.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixToMaps.F90 -o m_SparseMatrixToMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixToMaps.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_SparseMatrixToMaps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_ConvertMaps.F90 -o m_ConvertMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_ConvertMaps.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_ConvertMaps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_ExchangeMaps.F90 -o m_ExchangeMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_ExchangeMaps.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_ExchangeMaps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Router.F90 -o m_Router.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Router.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_Router.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Rearranger.F90 -o m_Rearranger.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Rearranger.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_Rearranger.fppized.f90 m_Rearranger.fppized.f90:926:23: 899 | call MPI_IRECV(IRecvBuf(IRecvLoc(proc)), & | 2 ...... 926 | call MPI_IRECV(RRecvBuf(RRecvLoc(proc)), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_Rearranger.fppized.f90:1014:23: 987 | call MPI_ISEND(ISendBuf(ISendLoc(proc)), & | 2 ...... 1014 | call MPI_ISEND(RSendBuf(RSendLoc(proc)), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_Rearranger.fppized.f90:1093:24: 1087 | call MPI_Alltoallv(ISendBuf, ISendCnts, ISdispls, MP_INTEGER, & | 2 ...... 1093 | call MPI_Alltoallv(RSendBuf, RSendCnts, RSdispls, mp_Type_rp, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixPlus.F90 -o m_SparseMatrixPlus.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixPlus.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_SparseMatrixPlus.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_GeneralGrid.F90 -o m_GeneralGrid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GeneralGrid.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_GeneralGrid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Transfer.F90 -o m_Transfer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Transfer.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_Transfer.fppized.f90 m_Transfer.fppized.f90:551:23: 526 | call MPI_IRECV(Rout%ip1(proc)%pi(1), & | 2 ...... 551 | call MPI_IRECV(Rout%rp1(proc)%pr(1), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_Transfer.fppized.f90:256:22: 240 | call MPI_ISEND(Rout%ip1(proc)%pi(1), & | 2 ...... 256 | call MPI_ISEND(Rout%rp1(proc)%pr(1), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_inpak90.F90 -o m_inpak90.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_inpak90.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_inpak90.fppized.f90 m_inpak90.fppized.f90:369:17: 362 | call MPI_Bcast(i90_now%buffer,NBUF_MAX,MP_CHARACTER,root,comm,ier) | 2 ...... 369 | call MPI_Bcast(i90_now%nbuf,1,MP_INTEGER,root,comm,ier) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/CHARACTER(*)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_GlobalSegMapComms.F90 -o m_GlobalSegMapComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalSegMapComms.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_GlobalSegMapComms.fppized.f90 m_GlobalSegMapComms.fppized.f90:258:17: 238 | call MPI_ISEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 258 | call MPI_ISEND(outgoingGSMap%start, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:265:17: 238 | call MPI_ISEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 265 | call MPI_ISEND(outgoingGSMap%length, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:272:17: 238 | call MPI_ISEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 272 | call MPI_ISEND(outgoingGSMap%pe_loc, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:133:16: 112 | call MPI_SEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 133 | call MPI_SEND(outgoingGSMap%start, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:140:16: 112 | call MPI_SEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 140 | call MPI_SEND(outgoingGSMap%length, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:147:16: 112 | call MPI_SEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 147 | call MPI_SEND(outgoingGSMap%pe_loc, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Accumulator.F90 -o m_Accumulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Accumulator.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_Accumulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_MatAttrVectMul.F90 -o m_MatAttrVectMul.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_MatAttrVectMul.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_MatAttrVectMul.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_GeneralGridComms.F90 -o m_GeneralGridComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GeneralGridComms.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_GeneralGridComms.fppized.f90 m_GeneralGridComms.fppized.f90:1369:20: 1281 | call MPI_BCAST(HeaderAssoc,6,MP_LOGICAL,root,comm,ierr) | 2 ...... 1369 | call MPI_BCAST(DescendSize, 1, MP_INTEGER, root, comm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). m_GeneralGridComms.fppized.f90:476:19: 424 | call MPI_RECV(HeaderAssoc, 6, MP_LOGICAL, source, TagBase, ThisMCTWorld%MCT_comm, MPstatus, ierr) | 2 ...... 476 | call MPI_RECV(DescendSize, 1, MP_type(DescendSize), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). m_GeneralGridComms.fppized.f90:210:19: 156 | call MPI_SEND(HeaderAssoc, 6, MP_LOGICAL, dest, TagBase, ThisMCTWorld%MCT_comm, ierr) | 2 ...... 210 | call MPI_SEND(size(iGGrid%descend), 1, MP_type(size(iGGrid%descend)), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mct_mod.F90 -o mct_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mct_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_comm_mct.F90 -o seq_comm_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_comm_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_comm_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_drydep_mod.F90 -o seq_drydep_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_drydep_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_drydep_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_flds_mod.F90 -o seq_flds_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_flds_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_flds_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_io_mod.F90 -o seq_io_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_io_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_io_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_pio_utils.F90 -o cam_pio_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_pio_utils.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cam_pio_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 sat_hist.F90 -o sat_hist.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sat_hist.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp sat_hist.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 solar_data.F90 -o solar_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o solar_data.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp solar_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_orb_mod.F90 -o shr_orb_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_orb_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_orb_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_tInterp_mod.F90 -o shr_tInterp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_tInterp_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_tInterp_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_stream_mod.F90 -o shr_stream_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_stream_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_stream_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_pcdf_mod.F90 -o shr_pcdf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_pcdf_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_pcdf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_mct_mod.F90 -o shr_mct_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_mct_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_map_mod.F90 -o shr_map_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_map_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_map_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_ncread_mod.F90 -o shr_ncread_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_ncread_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_ncread_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_dmodel_mod.F90 -o shr_dmodel_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_dmodel_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_dmodel_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_strdata_mod.F90 -o shr_strdata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_strdata_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_strdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_scam_mod.F90 -o shr_scam_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_scam_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_scam_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 wrap_nf.F90 -o wrap_nf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrap_nf.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp wrap_nf.fppized.f90 wrap_nf.fppized.f90:1874:38: 1874 | ret = nf_get_var_int (nfid, varid, arr) | 1 ...... 1899 | ret = nf_get_var_int (nfid, varid, x) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) wrap_nf.fppized.f90:1799:41: 1799 | ret = nf_get_var_double (nfid, varid, arr) | 1 ...... 1849 | ret = nf_get_var_double (nfid, varid, x) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 scamMod.F90 -o scamMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o scamMod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp scamMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 error_messages.F90 -o error_messages.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o error_messages.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp error_messages.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 interpolate_data.F90 -o interpolate_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o interpolate_data.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp interpolate_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 geopotential.F90 -o geopotential.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o geopotential.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp geopotential.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ref_pres.F90 -o ref_pres.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ref_pres.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ref_pres.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_control.F90 -o phys_control.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_control.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp phys_control.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 physics_types.F90 -o physics_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o physics_types.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp physics_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 time_utils.F90 -o time_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o time_utils.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp time_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 repro_sum_mod.F90 -o repro_sum_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o repro_sum_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp repro_sum_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_gmean.F90 -o phys_gmean.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_gmean.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp phys_gmean.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mo_constants.F90 -o mo_constants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mo_constants.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mo_constants.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_types.F90 -o m_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_types.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mo_flbc.F90 -o mo_flbc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mo_flbc.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mo_flbc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 chem_surfvals.F90 -o chem_surfvals.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o chem_surfvals.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp chem_surfvals.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_history_buffers.F90 -o cam_history_buffers.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_history_buffers.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cam_history_buffers.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 filenames.F90 -o filenames.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o filenames.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp filenames.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_share.F90 -o cosp_share.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_share.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cosp_share.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 interp_mod.F90 -o interp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o interp_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp interp_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_history.F90 -o cam_history.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_history.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cam_history.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 advect_tend.F90 -o advect_tend.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o advect_tend.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp advect_tend.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_buffer.F90 -o phys_buffer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_buffer.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp phys_buffer.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ghg_data.F90 -o ghg_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ghg_data.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ghg_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radconstants.F90 -o radconstants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radconstants.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp radconstants.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radae.F90 -o radae.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radae.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp radae.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 quicksort.F90 -o quicksort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o quicksort.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp quicksort.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radlw.F90 -o radlw.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radlw.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp radlw.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pkg_cldoptics.F90 -o pkg_cldoptics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pkg_cldoptics.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pkg_cldoptics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_debug_util.F90 -o phys_debug_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_debug_util.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp phys_debug_util.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 conv_water.F90 -o conv_water.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o conv_water.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp conv_water.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 param_cldoptics.F90 -o param_cldoptics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o param_cldoptics.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp param_cldoptics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mo_util.F90 -o mo_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mo_util.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mo_util.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 rad_solar_var.F90 -o rad_solar_var.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rad_solar_var.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp rad_solar_var.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cmparray_mod.F90 -o cmparray_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmparray_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cmparray_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radsw.F90 -o radsw.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radsw.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp radsw.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_prop.F90 -o phys_prop.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_prop.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp phys_prop.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 rad_constituents.F90 -o rad_constituents.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rad_constituents.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp rad_constituents.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 wv_saturation.F90 -o wv_saturation.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wv_saturation.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp wv_saturation.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 modal_aer_opt.F90 -o modal_aer_opt.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o modal_aer_opt.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp modal_aer_opt.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 aer_rad_props.F90 -o aer_rad_props.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o aer_rad_props.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp aer_rad_props.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 camsrfexch_types.F90 -o camsrfexch_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o camsrfexch_types.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp camsrfexch_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radiation_data.F90 -o radiation_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radiation_data.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp radiation_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_constants.F90 -o cosp_constants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_constants.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cosp_constants.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_utils.F90 -o cosp_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_utils.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cosp_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radar_simulator_types.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp radar_simulator_types.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_types.F90 -o cosp_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_types.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cosp_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 modis_simulator.F90 -o modis_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o modis_simulator.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp modis_simulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_modis_simulator.F90 -o cosp_modis_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_modis_simulator.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cosp_modis_simulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_lidar.F90 -o cosp_lidar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_lidar.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cosp_lidar.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_misr_simulator.F90 -o cosp_misr_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_misr_simulator.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cosp_misr_simulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 llnl_stats.F90 -o llnl_stats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o llnl_stats.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp llnl_stats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 lmd_ipsl_stats.F90 -o lmd_ipsl_stats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lmd_ipsl_stats.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp lmd_ipsl_stats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_stats.F90 -o cosp_stats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_stats.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cosp_stats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o atmos_lib.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp atmos_lib.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrgrnk.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mrgrnk.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o array_lib.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp array_lib.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o format_input.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp format_input.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o math_lib.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp math_lib.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o optics_lib.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp optics_lib.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_radar.F90 -o cosp_radar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_radar.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cosp_radar.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_isccp_simulator.F90 -o cosp_isccp_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_isccp_simulator.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cosp_isccp_simulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_simulator.F90 -o cosp_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_simulator.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cosp_simulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp.F90 -o cosp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cosp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cospsimulator_intr.F90 -o cospsimulator_intr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cospsimulator_intr.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cospsimulator_intr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 chemistry.F90 -o chemistry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o chemistry.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp chemistry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radheat.F90 -o radheat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radheat.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp radheat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radiation.F90 -o radiation.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radiation.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp radiation.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 horizontal_interpolate.F90 -o horizontal_interpolate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o horizontal_interpolate.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp horizontal_interpolate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 polar_avg.F90 -o polar_avg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o polar_avg.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp polar_avg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tracer_data.F90 -o tracer_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tracer_data.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp tracer_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 prescribed_ghg.F90 -o prescribed_ghg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prescribed_ghg.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp prescribed_ghg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 prescribed_aero.F90 -o prescribed_aero.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prescribed_aero.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp prescribed_aero.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 trb_mtn_stress.F90 -o trb_mtn_stress.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o trb_mtn_stress.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp trb_mtn_stress.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_debug.F90 -o phys_debug.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_debug.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp phys_debug.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 hb_diff.F90 -o hb_diff.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hb_diff.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp hb_diff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 upper_bc.F90 -o upper_bc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o upper_bc.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp upper_bc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 molec_diff.F90 -o molec_diff.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o molec_diff.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp molec_diff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 diffusion_solver.F90 -o diffusion_solver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diffusion_solver.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp diffusion_solver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 eddy_diff.F90 -o eddy_diff.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o eddy_diff.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp eddy_diff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 vertical_diffusion.F90 -o vertical_diffusion.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vertical_diffusion.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp vertical_diffusion.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 boundarydata.F90 -o boundarydata.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o boundarydata.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp boundarydata.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam3_ozone_data.F90 -o cam3_ozone_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam3_ozone_data.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cam3_ozone_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 aoa_tracers.F90 -o aoa_tracers.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o aoa_tracers.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp aoa_tracers.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 iondrag.F90 -o iondrag.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o iondrag.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp iondrag.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam3_aero_data.F90 -o cam3_aero_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam3_aero_data.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cam3_aero_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tracers_suite.F90 -o tracers_suite.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tracers_suite.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp tracers_suite.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tracers.F90 -o tracers.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tracers.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp tracers.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tropopause.F90 -o tropopause.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tropopause.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp tropopause.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 prescribed_volcaero.F90 -o prescribed_volcaero.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prescribed_volcaero.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp prescribed_volcaero.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 prescribed_ozone.F90 -o prescribed_ozone.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prescribed_ozone.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp prescribed_ozone.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 aircraft_emit.F90 -o aircraft_emit.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o aircraft_emit.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp aircraft_emit.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 modal_aero_deposition.F90 -o modal_aero_deposition.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o modal_aero_deposition.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp modal_aero_deposition.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 aerodep_flx.F90 -o aerodep_flx.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o aerodep_flx.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp aerodep_flx.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 advnce.F90 -o advnce.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o advnce.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp advnce.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 drydep_mod.F90 -o drydep_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o drydep_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp drydep_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 wetdep.F90 -o wetdep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wetdep.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp wetdep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dust_sediment_mod.F90 -o dust_sediment_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dust_sediment_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp dust_sediment_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dust_intr.F90 -o dust_intr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 error_function.F90 -o error_function.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o error_function.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp error_function.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dust_intr.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp dust_intr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 progseasalts_intr.F90 -o progseasalts_intr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o progseasalts_intr.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp progseasalts_intr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 scyc.F90 -o scyc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o scyc.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp scyc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 aerosol_intr.F90 -o aerosol_intr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o aerosol_intr.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp aerosol_intr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 history_scam.F90 -o history_scam.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o history_scam.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp history_scam.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 xpavg_mod.F90 -o xpavg_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o xpavg_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp xpavg_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ncdio_atm.F90 -o ncdio_atm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ncdio_atm.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ncdio_atm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 metdata.F90 -o metdata.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o metdata.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp metdata.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 co2_data_flux.F90 -o co2_data_flux.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o co2_data_flux.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp co2_data_flux.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 co2_cycle.F90 -o co2_cycle.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o co2_cycle.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp co2_cycle.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 buffer.F90 -o buffer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o buffer.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp buffer.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 comsrf.F90 -o comsrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o comsrf.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp comsrf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 restart_physics.F90 -o restart_physics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o restart_physics.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp restart_physics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pfixer.F90 -o pfixer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pfixer.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pfixer.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ctem.F90 -o ctem.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ctem.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ctem.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 diag_module.F90 -o diag_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diag_module.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp diag_module.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dyn_comp.F90 -o dyn_comp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dyn_comp.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp dyn_comp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dyn_internal_state.F90 -o dyn_internal_state.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dyn_internal_state.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp dyn_internal_state.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 restart_dynamics.F90 -o restart_dynamics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o restart_dynamics.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp restart_dynamics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_restart.F90 -o cam_restart.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_restart.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cam_restart.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 readinitial.F90 -o readinitial.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o readinitial.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp readinitial.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 history_defaults.F90 -o history_defaults.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o history_defaults.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp history_defaults.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 uw_conv.F90 -o uw_conv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o uw_conv.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp uw_conv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 uwshcu.F90 -o uwshcu.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o uwshcu.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp uwshcu.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cldwat.F90 -o cldwat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cldwat.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cldwat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 zm_conv.F90 -o zm_conv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zm_conv.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp zm_conv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 hk_conv.F90 -o hk_conv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hk_conv.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp hk_conv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 convect_shallow.F90 -o convect_shallow.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o convect_shallow.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp convect_shallow.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cloud_fraction.F90 -o cloud_fraction.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cloud_fraction.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cloud_fraction.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cldwat2m_macro.F90 -o cldwat2m_macro.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cldwat2m_macro.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cldwat2m_macro.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ndrop.F90 -o ndrop.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ndrop.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ndrop.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cldwat2m_micro.F90 -o cldwat2m_micro.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cldwat2m_micro.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cldwat2m_micro.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 microp_aero.F90 -o microp_aero.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o microp_aero.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp microp_aero.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 microp_driver.F90 -o microp_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o microp_driver.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp microp_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pkg_cld_sediment.F90 -o pkg_cld_sediment.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pkg_cld_sediment.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pkg_cld_sediment.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 stratiform.F90 -o stratiform.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o stratiform.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp stratiform.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 inidat.F90 -o inidat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o inidat.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp inidat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 startup_initialconds.F90 -o startup_initialconds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o startup_initialconds.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp startup_initialconds.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 inital.F90 -o inital.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o inital.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp inital.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 check_energy.F90 -o check_energy.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o check_energy.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp check_energy.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dp_coupling.F90 -o dp_coupling.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dp_coupling.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp dp_coupling.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 fv_prints.F90 -o fv_prints.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fv_prints.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp fv_prints.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 stepon.F90 -o stepon.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o stepon.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp stepon.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ionosphere.F90 -o ionosphere.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ionosphere.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ionosphere.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cloud_diagnostics.F90 -o cloud_diagnostics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cloud_diagnostics.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cloud_diagnostics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cloud_rad_props.F90 -o cloud_rad_props.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cloud_rad_props.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cloud_rad_props.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tidal_diag.F90 -o tidal_diag.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tidal_diag.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp tidal_diag.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 constituent_burden.F90 -o constituent_burden.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o constituent_burden.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp constituent_burden.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_diagnostics.F90 -o cam_diagnostics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_diagnostics.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cam_diagnostics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 flux_avg.F90 -o flux_avg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o flux_avg.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp flux_avg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 macrop_driver.F90 -o macrop_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o macrop_driver.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp macrop_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 gw_drag.F90 -o gw_drag.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gw_drag.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp gw_drag.fppized.f90 gw_drag.fppized.f90:761:17: 759 | do l = 1, ngwv | 2 760 | do i = 1, ncol 761 | tau(i, l,kbot) = tauback(i) * exp(-(c(l)/30._r8)**2) | 1 Warning: Array reference at (1) out of bounds (1 > 0) in loop beginning at (2) gw_drag.fppized.f90:761:48: 759 | do l = 1, ngwv | 2 760 | do i = 1, ncol 761 | tau(i, l,kbot) = tauback(i) * exp(-(c(l)/30._r8)**2) | 1 Warning: Array reference at (1) out of bounds (1 > 0) in loop beginning at (2) gw_drag.fppized.f90:762:16: 759 | do l = 1, ngwv | 2 ...... 762 | tau(i,-l,kbot) = tau(i, l,kbot) | 1 Warning: Array reference at (1) out of bounds (-1 < 0) in loop beginning at (2) gw_drag.fppized.f90:762:34: 759 | do l = 1, ngwv | 2 ...... 762 | tau(i,-l,kbot) = tau(i, l,kbot) | 1 Warning: Array reference at (1) out of bounds (1 > 0) in loop beginning at (2) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 zm_conv_intr.F90 -o zm_conv_intr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zm_conv_intr.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp zm_conv_intr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 convect_deep.F90 -o convect_deep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o convect_deep.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp convect_deep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 rayleigh_friction.F90 -o rayleigh_friction.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rayleigh_friction.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp rayleigh_friction.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 majorsp_diffusion.F90 -o majorsp_diffusion.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o majorsp_diffusion.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp majorsp_diffusion.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 sslt_rebin.F90 -o sslt_rebin.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sslt_rebin.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp sslt_rebin.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 physpkg.F90 -o physpkg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o physpkg.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp physpkg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_comp.F90 -o cam_comp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_comp.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cam_comp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_infodata_mod.F90 -o seq_infodata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_infodata_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_infodata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_cdata_mod.F90 -o seq_cdata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_cdata_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_cdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 runtime_opts.F90 -o runtime_opts.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o runtime_opts.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp runtime_opts.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_cpl_indices.F90 -o cam_cpl_indices.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_cpl_indices.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cam_cpl_indices.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_timemgr_mod.F90 -o seq_timemgr_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_timemgr_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_timemgr_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 atm_comp_mct.F90 -o atm_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o atm_comp_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp atm_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 benergy.F90 -o benergy.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o benergy.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp benergy.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 binary_io.F90 -o binary_io.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o binary_io.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp binary_io.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 bnddyi.F90 -o bnddyi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bnddyi.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp bnddyi.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_flds_indices.F90 -o seq_flds_indices.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_flds_indices.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_flds_indices.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_diag_mct.F90 -o seq_diag_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_diag_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_diag_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_rearr_mod.F90 -o seq_rearr_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_rearr_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_rearr_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_ocnocn_mct.F90 -o map_ocnocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_ocnocn_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp map_ocnocn_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mrg_x2s_mct.F90 -o mrg_x2s_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2s_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mrg_x2s_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ice_comp_mct.F90 -o ice_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ice_comp_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ice_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mrg_x2o_mct.F90 -o mrg_x2o_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2o_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mrg_x2o_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_avdata_mod.F90 -o seq_avdata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_avdata_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_avdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_hist_mod.F90 -o seq_hist_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_hist_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_hist_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mrg_x2a_mct.F90 -o mrg_x2a_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2a_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mrg_x2a_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_iceocn_mct.F90 -o map_iceocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_iceocn_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp map_iceocn_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_map_mod.F90 -o seq_map_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_map_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_map_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_atmlnd_mct.F90 -o map_atmlnd_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmlnd_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp map_atmlnd_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_atmice_mct.F90 -o map_atmice_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmice_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp map_atmice_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_snoglc_mct.F90 -o map_snoglc_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_snoglc_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp map_snoglc_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_atmocn_mct.F90 -o map_atmocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmocn_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp map_atmocn_mct.fppized.f90 map_atmocn_mct.fppized.f90:1060:24: 940 | call MPI_ALLREDUCE(rarray,ilat1,num,MPI_REAL8,MPI_SUM,mpicom,rcode) | 2 ...... 1060 | call MPI_ALLREDUCE(cntfound,cntf_tot,1,MPI_INTEGER,MPI_SUM,mpicom,rcode) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_domain_mct.F90 -o seq_domain_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_domain_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_domain_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_rest_mod.F90 -o seq_rest_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_rest_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_rest_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mrg_x2l_mct.F90 -o mrg_x2l_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2l_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mrg_x2l_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_frac_mct.F90 -o seq_frac_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_frac_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_frac_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_flux_mod.F90 -o shr_flux_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_flux_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_flux_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_flux_mct.F90 -o seq_flux_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_flux_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp seq_flux_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_lndlnd_mct.F90 -o map_lndlnd_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_lndlnd_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp map_lndlnd_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_iceice_mct.F90 -o map_iceice_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_iceice_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp map_iceice_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_glcglc_mct.F90 -o map_glcglc_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_glcglc_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp map_glcglc_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 lnd_comp_mct.F90 -o lnd_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lnd_comp_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp lnd_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ocn_types.F90 -o ocn_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocn_types.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ocn_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ocn_comp.F90 -o ocn_comp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocn_comp.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ocn_comp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ocn_comp_mct.F90 -o ocn_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocn_comp_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ocn_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_rofrof_mct.F90 -o map_rofrof_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_rofrof_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp map_rofrof_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_atmatm_mct.F90 -o map_atmatm_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmatm_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp map_atmatm_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_rofocn_mct.F90 -o map_rofocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_rofocn_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp map_rofocn_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_mem_mod.F90 -o shr_mem_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_mem_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_mem_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_snosno_mct.F90 -o map_snosno_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_snosno_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp map_snosno_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mrg_x2g_mct.F90 -o mrg_x2g_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2g_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mrg_x2g_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mrg_x2i_mct.F90 -o mrg_x2i_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2i_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mrg_x2i_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 glc_comp_mct.F90 -o glc_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o glc_comp_mct.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp glc_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ccsm_comp_mod.F90 -o ccsm_comp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ccsm_comp_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ccsm_comp_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ccsm_driver.F90 -o ccsm_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ccsm_driver.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp ccsm_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tp_core.F90 -o tp_core.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tp_core.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp tp_core.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 sw_core.F90 -o sw_core.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sw_core.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp sw_core.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cd_core.F90 -o cd_core.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cd_core.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cd_core.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cldsav.F90 -o cldsav.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cldsav.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cldsav.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o collective.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 collective.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o comm.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 comm.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 comspe.F90 -o comspe.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o comspe.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp comspe.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cpslec.F90 -o cpslec.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cpslec.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp cpslec.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 d2a3dijk.F90 -o d2a3dijk.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d2a3dijk.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp d2a3dijk.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 d2a3dikj.F90 -o d2a3dikj.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d2a3dikj.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp d2a3dikj.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dadadj.F90 -o dadadj.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dadadj.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp dadadj.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 datetime.F90 -o datetime.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o datetime.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp datetime.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 diag_dynvar_ic.F90 -o diag_dynvar_ic.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diag_dynvar_ic.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp diag_dynvar_ic.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mean_module.F90 -o mean_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mean_module.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mean_module.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dryairm.F90 -o dryairm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dryairm.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp dryairm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dsd.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp dsd.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mapz_module.F90 -o mapz_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mapz_module.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mapz_module.fppized.f90 mapz_module.fppized.f90:957:19: 957 | do 500 i=1,im | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 500 at (1) mapz_module.fppized.f90:958:39: 958 | 500 a6(i,k) = delp(i,k-1) + delp(i,k) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 500 at (1) mapz_module.fppized.f90:961:20: 961 | do 1000 i=1,im | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1000 at (1) mapz_module.fppized.f90:966:20: 966 | do 1220 i=1,im | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1220 at (1) mapz_module.fppized.f90:981:18: 981 | do 12 i=1,im | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 12 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 epvd.F90 -o epvd.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o epvd.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp epvd.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 esinti.F90 -o esinti.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o esinti.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp esinti.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o f_wrappers.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 f_wrappers.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 fft99.F90 -o fft99.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fft99.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp fft99.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 fill_module.F90 -o fill_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fill_module.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp fill_module.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 fort.F90 -o fort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fort.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp fort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gases.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp gases.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 gauaw_mod.F90 -o gauaw_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gauaw_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp gauaw_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 geopk.F90 -o geopk.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o geopk.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp geopk.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o get_zeits.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 get_zeits.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 gffgch.F90 -o gffgch.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gffgch.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp gffgch.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gptl.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gptl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gptl_papi.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gptl_papi.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o group.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 group.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o handles.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 handles.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hirsbtpar.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp hirsbtpar.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hirsbt.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp hirsbt.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 icarus.F90 -o icarus.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o icarus.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp icarus.fppized.f90 icarus.fppized.f90:1089:21: 1089 | do 38 ilev2=1,7 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 38 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 initcom.F90 -o initcom.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o initcom.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp initcom.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 initindx.F90 -o initindx.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o initindx.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp initindx.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 intp_util.F90 -o intp_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o intp_util.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp intp_util.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 iop_surf.F90 -o iop_surf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o iop_surf.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp iop_surf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 lidar_simulator.F90 -o lidar_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lidar_simulator.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp lidar_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o list.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 list.c list.c: In function ‘AP_list_print’: list.c:383:20: warning: cast from pointer to integer of different size [-Wpointer-to-int-cast] 383 | printf("%d ",(int)cur->data); | ^ list.c: In function ‘AP_list_revprint’: list.c:410:20: warning: cast from pointer to integer of different size [-Wpointer-to-int-cast] 410 | printf("%d ",(int)cur->data); | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o load_hydrometeor_classes.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp load_hydrometeor_classes.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_AccumulatorComms.F90 -o m_AccumulatorComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AccumulatorComms.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_AccumulatorComms.fppized.f90 m_AccumulatorComms.fppized.f90:713:17: 677 | call MPI_BCAST(AccBuffSize, 1, MP_INTEGER, root, comm, ier) | 2 ...... 713 | call MPI_BCAST(AccBuff, AccBuffSize, MP_INTEGER, root, comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_AttrVectReduce.F90 -o m_AttrVectReduce.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AttrVectReduce.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_AttrVectReduce.fppized.f90 m_AttrVectReduce.fppized.f90:535:24: 503 | call MPI_AllReduce(inAV%rAttr, outAV%rAttr, BufferSize, & | 2 ...... 535 | call MPI_AllReduce(inAV%iAttr, outAV%iAttr, BufferSize, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_StrTemplate.F90 -o m_StrTemplate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_StrTemplate.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_StrTemplate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_FileResolv.F90 -o m_FileResolv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_FileResolv.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_FileResolv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Filename.F90 -o m_Filename.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Filename.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_Filename.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Merge.F90 -o m_Merge.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Merge.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_Merge.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SpatialIntegralV.F90 -o m_SpatialIntegralV.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SpatialIntegralV.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_SpatialIntegralV.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SpatialIntegral.F90 -o m_SpatialIntegral.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SpatialIntegral.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_SpatialIntegral.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_zeit.F90 -o m_zeit.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_zeit.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp m_zeit.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 marsaglia.F90 -o marsaglia.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o marsaglia.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp marsaglia.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mcshallow.F90 -o mcshallow.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mcshallow.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mcshallow.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_quicksort.F90 -o pio_quicksort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_quicksort.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pio_quicksort.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mct_rearrange.F90 -o mct_rearrange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mct_rearrange.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mct_rearrange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 miesubs.F90 -o miesubs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o miesubs.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp miesubs.fppized.f90 miesubs.fppized.f90:627:27: 627 | do 5 l = 0, nmom | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5 at (1) miesubs.fppized.f90:1288:30: 1288 | do 20 j = 1, 4 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 20 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mo_msis_ubc.F90 -o mo_msis_ubc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mo_msis_ubc.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mo_msis_ubc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mo_regrider.F90 -o mo_regrider.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mo_regrider.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mo_regrider.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mo_solar_parms.F90 -o mo_solar_parms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mo_solar_parms.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp mo_solar_parms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mpi.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 mpi.c In file included from mpi.c:3: mpi.c:23:12: warning: return type defaults to ‘int’ [-Wimplicit-int] 23 | FORT_NAME( mpi_init_fort , MPI_INIT_FORT) | ^~~~~~~~~~~~~ include/mpiP.h:49:32: note: in definition of macro ‘FORT_NAME’ 49 | #define FORT_NAME(lower,upper) lower##_ | ^~~~~ mpi.c:179:12: warning: return type defaults to ‘int’ [-Wimplicit-int] 179 | FORT_NAME( mpi_finalize, MPI_FINALIZE )(int *ierror) | ^~~~~~~~~~~~ include/mpiP.h:49:32: note: in definition of macro ‘FORT_NAME’ 49 | #define FORT_NAME(lower,upper) lower##_ | ^~~~~ mpi.c:207:12: warning: return type defaults to ‘int’ [-Wimplicit-int] 207 | FORT_NAME( mpi_abort , MPI_ABORT )(int *comm, int *errorcode, int *ierror) | ^~~~~~~~~ include/mpiP.h:49:32: note: in definition of macro ‘FORT_NAME’ 49 | #define FORT_NAME(lower,upper) lower##_ | ^~~~~ mpi.c:225:12: warning: return type defaults to ‘int’ [-Wimplicit-int] 225 | FORT_NAME( mpi_error_string , MPI_ERROR_STRING) | ^~~~~~~~~~~~~~~~ include/mpiP.h:49:32: note: in definition of macro ‘FORT_NAME’ 49 | #define FORT_NAME(lower,upper) lower##_ | ^~~~~ mpi.c:245:12: warning: return type defaults to ‘int’ [-Wimplicit-int] 245 | FORT_NAME( mpi_get_processor_name , MPI_GET_PROCESSOR_NAME ) | ^~~~~~~~~~~~~~~~~~~~~~ include/mpiP.h:49:32: note: in definition of macro ‘FORT_NAME’ 49 | #define FORT_NAME(lower,upper) lower##_ | ^~~~~ mpi.c:275:12: warning: return type defaults to ‘int’ [-Wimplicit-int] 275 | FORT_NAME( mpi_initialized , MPI_INITIALIZED )(int *flag, int *ierror) | ^~~~~~~~~~~~~~~ include/mpiP.h:49:32: note: in definition of macro ‘FORT_NAME’ 49 | #define FORT_NAME(lower,upper) lower##_ | ^~~~~ /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 msise00.F90 -o msise00.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o msise00.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp msise00.fppized.f90 msise00.fppized.f90:1511:35: 1511 | 50 TINF = TINF + ABS(SW(I))*T(I) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 50 at (1) msise00.fppized.f90:1698:27: 1698 | 50 TT=TT+ABS(SW(I))*T(I) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 50 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 p_d_adjust.F90 -o p_d_adjust.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o p_d_adjust.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp p_d_adjust.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pack.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 pack.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 par_vecsum.F90 -o par_vecsum.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o par_vecsum.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp par_vecsum.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 par_xsum.F90 -o par_xsum.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o par_xsum.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp par_xsum.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pf_to_mr.F90 -o pf_to_mr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pf_to_mr.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pf_to_mr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_msg_callbacks.F90 -o pio_msg_callbacks.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_msg_callbacks.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pio_msg_callbacks.fppized.f90 pio_msg_callbacks.fppized.f90:87:17: 19 | call mpi_bcast(fh, 1, mpi_integer, iosystem%compmaster, iosystem%intercomm, ierr) | 2 ...... 87 | call mpi_bcast(fname, char_len, mpi_character, iosystem%compmaster, iosystem%intercomm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(360)/INTEGER(4)). pio_msg_callbacks.fppized.f90:152:17: 19 | call mpi_bcast(fh, 1, mpi_integer, iosystem%compmaster, iosystem%intercomm, ierr) | 2 ...... 152 | call mpi_bcast(dims(1:dims_size), dims_size, mpi_integer, iosystem%compmaster, iosystem%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_msg_getput_callbacks.F90 -o pio_msg_getput_callbacks.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_msg_getput_callbacks.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pio_msg_getput_callbacks.fppized.f90 pio_msg_getput_callbacks.fppized.f90:46:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 46 | call mpi_bcast(index, size_index, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:104:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 104 | call mpi_bcast(start, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:105:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 105 | call mpi_bcast(count, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:107:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 107 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%intercomm , ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:168:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 168 | call mpi_bcast(start, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:169:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 169 | call mpi_bcast(count, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:171:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 171 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%intercomm , ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:232:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 232 | call mpi_bcast(start, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:233:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 233 | call mpi_bcast(count, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:235:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 235 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%intercomm , ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:296:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 296 | call mpi_bcast(start, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:297:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 297 | call mpi_bcast(count, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:299:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 299 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%intercomm , ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:360:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 360 | call mpi_bcast(start, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:361:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 361 | call mpi_bcast(count, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:363:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 363 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%intercomm , ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:703:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 703 | call mpi_bcast(dims, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:765:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 765 | call mpi_bcast(dims, 2, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:827:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 827 | call mpi_bcast(dims, 3, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:889:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 889 | call mpi_bcast(dims, 4, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:951:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 951 | call mpi_bcast(dims, 5, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_nf_utils.F90 -o pio_nf_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_nf_utils.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pio_nf_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pkez.F90 -o pkez.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pkez.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp pkez.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 prec_scops.F90 -o prec_scops.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prec_scops.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp prec_scops.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 puminterfaces.F90 -o puminterfaces.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o puminterfaces.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp puminterfaces.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 qneg3.F90 -o qneg3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o qneg3.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp qneg3.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 qneg4.F90 -o qneg4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o qneg4.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp qneg4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radar_simulator.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp radar_simulator.f90 radar_simulator.f90:393:28: 360 | ze,zr,kr,mt_qext,mt_qbsca,xx) | 2 ...... 393 | ze,zr,kr,xxa,xxa,rhoi) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o recv.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 recv.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 redistributemodule.F90 -o redistributemodule.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o redistributemodule.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp redistributemodule.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o req.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 req.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 scops.F90 -o scops.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o scops.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp scops.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o send.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 send.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 sgexx.F90 -o sgexx.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sgexx.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp sgexx.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o shr_jlcp.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 shr_jlcp.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_msg_mod.F90 -o shr_msg_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_msg_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_msg_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o shr_vmath_fwrap.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 shr_vmath_fwrap.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_vmath_mod.F90 -o shr_vmath_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_vmath_mod.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp shr_vmath_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 srchutil.F90 -o srchutil.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o srchutil.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp srchutil.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 srfxfer.F90 -o srfxfer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o srfxfer.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp srfxfer.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 sulchem.F90 -o sulchem.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sulchem.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp sulchem.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 te_map.F90 -o te_map.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o te_map.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp te_map.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o threadutil.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 threadutil.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o time.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 time.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o topology.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 topology.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tphysac.F90 -o tphysac.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tphysac.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp tphysac.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tphysbc.F90 -o tphysbc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tphysbc.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp tphysbc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tphysidl.F90 -o tphysidl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tphysidl.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp tphysidl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 trac2d.F90 -o trac2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o trac2d.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp trac2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 trunc.F90 -o trunc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o trunc.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp trunc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tsinti.F90 -o tsinti.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tsinti.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp tsinti.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 uv3s_update.F90 -o uv3s_update.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o uv3s_update.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp uv3s_update.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 virtem.F90 -o virtem.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o virtem.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp virtem.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 vrtmap.F90 -o vrtmap.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vrtmap.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp vrtmap.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 wrap_mpi.F90 -o wrap_mpi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrap_mpi.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp wrap_mpi.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 wrf_error_fatal.F90 -o wrf_error_fatal.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_error_fatal.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp wrf_error_fatal.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 wrf_message.F90 -o wrf_message.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_message.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp wrf_message.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zeff.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp zeff.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 zenith.F90 -o zenith.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zenith.fppized.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp zenith.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/attr.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/attr.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/dim.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/dim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/error.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/error.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-attio.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-attio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-control.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-control.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-dim.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-dim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-genatt.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-genatt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-geninq.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-geninq.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-genvar.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-genvar.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-lib.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-lib.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-misc.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-misc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-v2compat.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-v2compat.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-var1io.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-var1io.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varaio.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varaio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-vario.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-vario.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varmio.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varmio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varsio.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varsio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/libvers.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/libvers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/nc.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/nc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/ncx.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/ncx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/posixio.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/posixio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/putget.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/putget.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/string.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/string.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/v1hpg.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/v1hpg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/v2i.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/v2i.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/var.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/var.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spec_qsort/spec_qsort.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 spec_qsort/spec_qsort.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP ESMF_BaseMod.fppized.o ESMF_BaseTimeMod.fppized.o ESMF_FractionMod.fppized.o ESMF_CalendarMod.fppized.o ESMF_TimeIntervalMod.fppized.o ESMF_Stubs.fppized.o ESMF_TimeMod.fppized.o ESMF_AlarmMod.fppized.o ESMF_ClockMod.fppized.o ESMF_AlarmClockMod.fppized.o ESMF_Mod.fppized.o cam_logfile.fppized.o debugutilitiesmodule.fppized.o decompmodule.fppized.o shr_kind_mod.fppized.o ghostmodule.fppized.o perf_utils.fppized.o shr_log_mod.fppized.o shr_mpi_mod.fppized.o shr_sys_mod.fppized.o shr_file_mod.fppized.o string_utils.fppized.o namelist_utils.fppized.o perf_mod.fppized.o mod_comm.fppized.o parutilitiesmodule.fppized.o mpishorthand.fppized.o abortutils.fppized.o units.fppized.o spmd_utils.fppized.o fv_control_mod.fppized.o pft_module.fppized.o dynamics_vars.fppized.o FVperf_module.fppized.o GPTLget_memusage.o GPTLprint_memusage.o GPTLutil.o MISR_simulator.fppized.o Meat.fppized.o pmgrid.fppized.o dycore.fppized.o pio_kinds.fppized.o pio_support.fppized.o pio_types.fppized.o pio_msg_mod.fppized.o alloc_mod.fppized.o pio_utils.fppized.o nf_mod.fppized.o pionfput_mod.fppized.o pionfatt_mod.fppized.o pio_spmd_utils.fppized.o calcdisplace_mod.fppized.o box_rearrange.fppized.o rearrange.fppized.o pionfread_mod.fppized.o iompi_mod.fppized.o pionfwrite_mod.fppized.o piodarray.fppized.o ionf_mod.fppized.o calcdecomp.fppized.o pio_mpi_utils.fppized.o piolib_mod.fppized.o pionfget_mod.fppized.o pio.fppized.o shr_timer_mod.fppized.o shr_string_mod.fppized.o shr_const_mod.fppized.o shr_cal_mod.fppized.o time_manager.fppized.o ppgrid.fppized.o physconst.fppized.o constituents.fppized.o commap.fppized.o infnan.fppized.o pspect.fppized.o rgrid.fppized.o spmd_dyn.fppized.o hycoef.fppized.o dyn_grid.fppized.o m_chars.fppized.o m_flow.fppized.o m_stdio.fppized.o m_mpif.fppized.o m_realkinds.fppized.o m_mpif90.fppized.o m_dropdead.fppized.o m_ioutil.fppized.o m _mpout.fppized.o m_die.fppized.o m_MergeSorts.fppized.o phys_grid.fppized.o ioFileMod.fppized.o cam_history_support.fppized.o cam_control_mod.fppized.o m_mall.fppized.o m_String.fppized.o m_rankMerge.fppized.o m_IndexBin_logical.fppized.o m_Permuter.fppized.o m_IndexBin_char.fppized.o m_IndexBin_integer.fppized.o m_SortingTools.fppized.o m_List.fppized.o m_TraceBack.fppized.o m_AttrVect.fppized.o m_GlobalMap.fppized.o m_FcComms.fppized.o m_MCTWorld.fppized.o m_GlobalSegMap.fppized.o m_AttrVectComms.fppized.o m_SparseMatrix.fppized.o m_SparseMatrixDecomp.fppized.o m_SparseMatrixComms.fppized.o m_Navigator.fppized.o m_GlobalToLocal.fppized.o m_SparseMatrixToMaps.fppized.o m_ConvertMaps.fppized.o m_ExchangeMaps.fppized.o m_Router.fppized.o m_Rearranger.fppized.o m_SparseMatrixPlus.fppized.o m_GeneralGrid.fppized.o m_Transfer.fppized.o m_inpak90.fppized.o m_GlobalSegMapComms.fppized.o m_Accumulator.fppized.o m_MatAttrVectMul.fppized.o m_GeneralGridComms.fppized.o mct_mod.fppized.o seq_comm_mct.fppized.o seq_d rydep_mod.fppized.o seq_flds_mod.fppized.o seq_io_mod.fppized.o cam_pio_utils.fppized.o sat_hist.fppized.o solar_data.fppized.o shr_orb_mod.fppized.o shr_tInterp_mod.fppized.o shr_stream_mod.fppized.o shr_pcdf_mod.fppized.o shr_mct_mod.fppized.o shr_map_mod.fppized.o shr_ncread_mod.fppized.o shr_dmodel_mod.fppized.o shr_strdata_mod.fppized.o shr_scam_mod.fppized.o wrap_nf.fppized.o scamMod.fppized.o error_messages.fppized.o interpolate_data.fppized.o geopotential.fppized.o ref_pres.fppized.o phys_control.fppized.o physics_types.fppized.o time_utils.fppized.o repro_sum_mod.fppized.o phys_gmean.fppized.o mo_constants.fppized.o m_types.fppized.o mo_flbc.fppized.o chem_surfvals.fppized.o cam_history_buffers.fppized.o filenames.fppized.o cosp_share.fppized.o interp_mod.fppized.o cam_history.fppized.o advect_tend.fppized.o phys_buffer.fppized.o ghg_data.fppized.o radconstants.fppized.o radae.fppized.o quicksort.fppized.o radlw.fppized.o pkg_cldoptics.fppized.o phys_debug_util.fppized.o conv_water.fppized.o para m_cldoptics.fppized.o mo_util.fppized.o rad_solar_var.fppized.o cmparray_mod.fppized.o radsw.fppized.o phys_prop.fppized.o rad_constituents.fppized.o wv_saturation.fppized.o modal_aer_opt.fppized.o aer_rad_props.fppized.o camsrfexch_types.fppized.o radiation_data.fppized.o cosp_constants.fppized.o cosp_utils.fppized.o radar_simulator_types.o cosp_types.fppized.o modis_simulator.fppized.o cosp_modis_simulator.fppized.o cosp_lidar.fppized.o cosp_misr_simulator.fppized.o llnl_stats.fppized.o lmd_ipsl_stats.fppized.o cosp_stats.fppized.o atmos_lib.o mrgrnk.o array_lib.o format_input.o math_lib.o optics_lib.o cosp_radar.fppized.o cosp_isccp_simulator.fppized.o cosp_simulator.fppized.o cosp.fppized.o cospsimulator_intr.fppized.o chemistry.fppized.o radheat.fppized.o radiation.fppized.o horizontal_interpolate.fppized.o polar_avg.fppized.o tracer_data.fppized.o prescribed_ghg.fppized.o prescribed_aero.fppized.o trb_mtn_stress.fppized.o phys_debug.fppized.o hb_diff.fppized.o upper_bc.fppized.o molec_diff.fppized.o diffusion_solver.fppized.o eddy_diff.fppized.o vertical_diffusion.fppized.o boundarydata.fppized.o cam3_ozone_data.fppized.o aoa_tracers.fppized.o iondrag.fppized.o cam3_aero_data.fppized.o tracers_suite.fppized.o tracers.fppized.o tropopause.fppized.o prescribed_volcaero.fppized.o prescribed_ozone.fppized.o aircraft_emit.fppized.o modal_aero_deposition.fppized.o aerodep_flx.fppized.o advnce.fppized.o drydep_mod.fppized.o wetdep.fppized.o dust_sediment_mod.fppized.o dust_intr.fppized.o progseasalts_intr.fppized.o scyc.fppized.o aerosol_intr.fppized.o history_scam.fppized.o xpavg_mod.fppized.o ncdio_atm.fppized.o metdata.fppized.o co2_data_flux.fppized.o co2_cycle.fppized.o buffer.fppized.o comsrf.fppized.o restart_physics.fppized.o pfixer.fppized.o ctem.fppized.o diag_module.fppized.o dyn_comp.fppized.o dyn_internal_state.fppized.o restart_dynamics.fppized.o cam_restart.fppized.o readinitial.fppized.o history_defaults.fppized.o error_function.fppized.o uw_conv.fppized.o uwshcu.fppized.o cldwat.fppized.o zm_conv.fppized.o hk_conv.fppized.o convect_shallow.fppized.o cloud_fraction.fppized.o cldwat2m_macro.fppized.o ndrop.fppized.o cldwat2m_micro.fppized.o microp_aero.fppized.o microp_driver.fppized.o pkg_cld_sediment.fppized.o stratiform.fppized.o inidat.fppized.o startup_initialconds.fppized.o inital.fppized.o check_energy.fppized.o dp_coupling.fppized.o fv_prints.fppized.o stepon.fppized.o ionosphere.fppized.o cloud_diagnostics.fppized.o cloud_rad_props.fppized.o tidal_diag.fppized.o constituent_burden.fppized.o cam_diagnostics.fppized.o flux_avg.fppized.o macrop_driver.fppized.o gw_drag.fppized.o zm_conv_intr.fppized.o convect_deep.fppized.o rayleigh_friction.fppized.o majorsp_diffusion.fppized.o sslt_rebin.fppized.o physpkg.fppized.o cam_comp.fppized.o seq_infodata_mod.fppized.o seq_cdata_mod.fppized.o runtime_opts.fppized.o cam_cpl_indices.fppized.o seq_timemgr_mod.fppized.o atm_comp_mct.fppized.o benergy.fppized.o binary_io.fppized.o bnddyi.fppized.o seq_flds_indices.fppized.o seq_diag_mct.fppized.o seq_rearr_mod.fppized.o map_ocnocn_mct.fppized.o mrg_x2s_mct.fppized.o ice_comp_mct.fppized.o mrg_x2o_mct.fppized.o seq_avdata_mod.fppized.o seq_hist_mod.fppized.o mrg_x2a_mct.fppized.o map_iceocn_mct.fppized.o seq_map_mod.fppized.o map_atmlnd_mct.fppized.o map_atmice_mct.fppized.o map_snoglc_mct.fppized.o map_atmocn_mct.fppized.o seq_domain_mct.fppized.o seq_rest_mod.fppized.o mrg_x2l_mct.fppized.o seq_frac_mct.fppized.o shr_flux_mod.fppized.o seq_flux_mct.fppized.o map_lndlnd_mct.fppized.o map_iceice_mct.fppized.o map_glcglc_mct.fppized.o lnd_comp_mct.fppized.o ocn_types.fppized.o ocn_comp.fppized.o ocn_comp_mct.fppized.o map_rofrof_mct.fppized.o map_atmatm_mct.fppized.o map_rofocn_mct.fppized.o shr_mem_mod.fppized.o map_snosno_mct.fppized.o mrg_x2g_mct.fppized.o mrg_x2i_mct.fppized.o glc_comp_mct.fppized.o ccsm_comp_mod.fppized.o ccsm_driver.fppized.o tp_core.fppized.o sw_core.fppized.o cd_core.fppized.o cldsav.fppized.o collective.o comm.o comspe.fppized.o cpslec.fppized.o d2a3dijk.fppized.o d2a3dikj. fppized.o dadadj.fppized.o datetime.fppized.o diag_dynvar_ic.fppized.o mean_module.fppized.o dryairm.fppized.o dsd.o mapz_module.fppized.o epvd.fppized.o esinti.fppized.o f_wrappers.o fft99.fppized.o fill_module.fppized.o fort.fppized.o gases.o gauaw_mod.fppized.o geopk.fppized.o get_zeits.o gffgch.fppized.o gptl.o gptl_papi.o group.o handles.o hirsbtpar.o hirsbt.o icarus.fppized.o initcom.fppized.o initindx.fppized.o intp_util.fppized.o iop_surf.fppized.o lidar_simulator.fppized.o list.o load_hydrometeor_classes.o m_AccumulatorComms.fppized.o m_AttrVectReduce.fppized.o m_StrTemplate.fppized.o m_FileResolv.fppized.o m_Filename.fppized.o m_Merge.fppized.o m_SpatialIntegralV.fppized.o m_SpatialIntegral.fppized.o m_zeit.fppized.o marsaglia.fppized.o mcshallow.fppized.o pio_quicksort.fppized.o mct_rearrange.fppized.o miesubs.fppized.o mo_msis_ubc.fppized.o mo_regrider.fppized.o mo_solar_parms.fppized.o mpi.o msise00.fppized.o p_d_adjust.fppized.o pack.o par_vecsum.fppized.o par_xsum.fppized.o pf_to_mr.fppized .o pio_msg_callbacks.fppized.o pio_msg_getput_callbacks.fppized.o pio_nf_utils.fppized.o pkez.fppized.o prec_scops.fppized.o puminterfaces.fppized.o qneg3.fppized.o qneg4.fppized.o radar_simulator.o recv.o redistributemodule.fppized.o req.o scops.fppized.o send.o sgexx.fppized.o shr_jlcp.o shr_msg_mod.fppized.o shr_vmath_fwrap.o shr_vmath_mod.fppized.o srchutil.fppized.o srfxfer.fppized.o sulchem.fppized.o te_map.fppized.o threadutil.o time.o topology.o tphysac.fppized.o tphysbc.fppized.o tphysidl.fppized.o trac2d.fppized.o trunc.fppized.o tsinti.fppized.o uv3s_update.fppized.o virtem.fppized.o vrtmap.fppized.o wrap_mpi.fppized.o wrf_error_fatal.fppized.o wrf_message.fppized.o zeff.o zenith.fppized.o netcdf/attr.o netcdf/dim.o netcdf/error.o netcdf/fort-attio.o netcdf/fort-control.o netcdf/fort-dim.o netcdf/fort-genatt.o netcdf/fort-geninq.o netcdf/fort-genvar.o netcdf/fort-lib.o netcdf/fort-misc.o netcdf/fort-v2compat.o netcdf/fort-var1io.o netcdf/fort-varaio.o netcdf/fort-vario.o netcdf/fort-varmio.o ne tcdf/fort-varsio.o netcdf/libvers.o netcdf/nc.o netcdf/ncx.o netcdf/posixio.o netcdf/putget.o netcdf/string.o netcdf/v1hpg.o netcdf/v2i.o netcdf/var.o netcdf/typeSizes.o netcdf/netcdf.o spec_qsort/spec_qsort.o -o cam4_s Stop make.cam4_s command: 2021-07-08 15:02:45 (1625770965.55435) Elapsed time for make.cam4_s command: 00:02:06 (126.045462846756) Issuing options.cam4_s command 'specmake --output-sync --jobs=1 options TARGET=cam4_s' Start options.cam4_s command: 2021-07-08 15:02:45 (1625770965.55773) FPP: "specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I. -Iinclude -Inetcdf/include" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options.cam4_s command: 2021-07-08 15:02:45 (1625770965.72256) Elapsed time for options.cam4_s command: 00:00:00 (0.164832830429077) Issuing compiler-version.cam4_s command 'specmake --output-sync --jobs=1 compiler-version TARGET=cam4_s' Start compiler-version.cam4_s command: 2021-07-08 15:02:45 (1625770965.72648) FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.cam4_s command: 2021-07-08 15:02:45 (1625770965.89987) Elapsed time for compiler-version.cam4_s command: 00:00:00 (0.17338490486145) Issuing make.cam4_validate_627 command 'specmake --output-sync --jobs=1 build TARGET=cam4_validate_627' Start make.cam4_validate_627 command: 2021-07-08 15:02:45 (1625770965.90407) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o validate-cam.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp validate-cam.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP validate-cam.o netcdf/attr.o netcdf/dim.o netcdf/error.o netcdf/fort-attio.o netcdf/fort-control.o netcdf/fort-dim.o netcdf/fort-genatt.o netcdf/fort-geninq.o netcdf/fort-genvar.o netcdf/fort-lib.o netcdf/fort-misc.o netcdf/fort-v2compat.o netcdf/fort-var1io.o netcdf/fort-varaio.o netcdf/fort-vario.o netcdf/fort-varmio.o netcdf/fort-varsio.o netcdf/libvers.o netcdf/nc.o netcdf/ncx.o netcdf/posixio.o netcdf/putget.o netcdf/string.o netcdf/v1hpg.o netcdf/v2i.o netcdf/var.o netcdf/typeSizes.o netcdf/netcdf.o spec_qsort/spec_qsort.o -o cam4_validate_627 Stop make.cam4_validate_627 command: 2021-07-08 15:02:46 (1625770966.19363) Elapsed time for make.cam4_validate_627 command: 00:00:00 (0.289553880691528) Issuing options.cam4_validate_627 command 'specmake --output-sync --jobs=1 options TARGET=cam4_validate_627' Start options.cam4_validate_627 command: 2021-07-08 15:02:46 (1625770966.19702) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I. -Iinclude -Inetcdf/include" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options.cam4_validate_627 command: 2021-07-08 15:02:46 (1625770966.3606) Elapsed time for options.cam4_validate_627 command: 00:00:00 (0.16358208656311) Issuing compiler-version.cam4_validate_627 command 'specmake --output-sync --jobs=1 compiler-version TARGET=cam4_validate_627' Start compiler-version.cam4_validate_627 command: 2021-07-08 15:02:46 (1625770966.36453) FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.cam4_validate_627 command: 2021-07-08 15:02:46 (1625770966.53748) Elapsed time for compiler-version.cam4_validate_627 command: 00:00:00 (0.17294716835022) Compile for '627.cam4_s' ended at: 2021-07-08 15:02:46 (1625770966) Elapsed compile for '627.cam4_s': 00:02:07 (127) FPP: "specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I. -Iinclude -Inetcdf/include" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I. -Iinclude -Inetcdf/include" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/exe/speed_pop2_base.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/exe/speed_pop2_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 628.pop2_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 15:02:47] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename netcdf/netcdf.f90)): netcdf/netcdf.f90 $(addsuffix $(OBJ),$(basename netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), ESMF_AlarmClockMod.fppized): ESMF_AlarmClockMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_ClockMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_AlarmMod.fppized): ESMF_AlarmMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_BaseTimeMod.fppized): ESMF_BaseTimeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized) $(addsuffix $(OBJ), ESMF_CalendarMod.fppized): ESMF_CalendarMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized) $(addsuffix $(OBJ), ESMF_ClockMod.fppized): ESMF_ClockMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_Mod.fppized): ESMF_Mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmClockMod.fppized ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_ClockMod.fppized ESMF_FractionMod.fppized ESMF_Stubs.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_Stubs.fppized): ESMF_Stubs.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_CalendarMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_TimeIntervalMod.fppized): ESMF_TimeIntervalMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_FractionMod.fppized) $(addsuffix $(OBJ), ESMF_TimeMod.fppized): ESMF_TimeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_TimeIntervalMod.fppized) $(addsuffix $(OBJ), Meat.fppized): Meat.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_ClockMod.fppized ESMF_FractionMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), POP_BlocksMod.fppized): POP_BlocksMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_DomainSizeMod.fppized POP_ErrorMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_BroadcastMod.fppized): POP_BroadcastMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_CommMod.fppized): POP_CommMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_KindsMod.fppized ocn_communicator.fppized) $(addsuffix $(OBJ), POP_ConfigMod.fppized): POP_ConfigMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BroadcastMod.fppized POP_CommMod.fppized POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_ConstantsMod.fppized): POP_ConstantsMod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) POP_CommMod.fppized POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized shr_const_mod.fppized) $(addsuffix $(OBJ), POP_DistributionMod.fppized): POP_DistributionMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_CommMod.fppized POP_ErrorMod.fppized POP_KindsMod.fppized spacecurve_mod.fppized) $(addsuffix $(OBJ), POP_DomainSizeMod.fppized): POP_DomainSizeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_ErrorMod.fppized): POP_ErrorMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized io_types.fppized) $(addsuffix $(OBJ), POP_FieldMod.fppized): POP_FieldMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_GridDimMod.fppized POP_GridHorzMod.fppized POP_GridVertMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_FinalMod.fppized): POP_FinalMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized communicate.fppized io_types.fppized timers.fppized) $(addsuffix $(OBJ), POP_GridDimMod.fppized): POP_GridDimMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_GridHorzMod.fppized): POP_GridHorzMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_GridVertMod.fppized): POP_GridVertMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_HaloMod.fppized): POP_HaloMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_CommMod.fppized POP_DistributionMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized POP_ReductionsMod.fppized) $(addsuffix $(OBJ), POP_IOUnitsMod.fppized): POP_IOUnitsMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_KindsMod.fppized shr_file_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), POP_InitMod.fppized): POP_InitMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_KindsMod.fppized domain.fppized initial.fppized kinds_mod.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), POP_MCT_vars_mod.fppized): POP_MCT_vars_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized mct_mod.fppized) $(addsuffix $(OBJ), POP_RedistributeMod.fppized): POP_RedistributeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_CommMod.fppized POP_DistributionMod.fppized POP_ErrorMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_ReductionsMod.fppized): POP_ReductionsMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_CommMod.fppized POP_DistributionMod.fppized POP_ErrorMod.fppized POP_GridHorzMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_SolversMod.fppized): POP_SolversMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_CommMod.fppized POP_ConfigMod.fppized POP_DistributionMod.fppized POP_DomainSizeMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized POP_RedistributeMod.fppized POP_ReductionsMod.fppized domain.fppized grid.fppized) $(addsuffix $(OBJ), advection.fppized): advection.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized diagnostics.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io_types.fppized kinds_mod.fppized operators.fppized overflows.fppized passive_tracers.fppized prognostic.fppized registry.fppized state_mod.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), alloc_mod.fppized): alloc_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), atm_comp_mct.fppized): atm_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized datm_comp_mod.fppized mct_mod.fppized seq_cdata_mod.fppized) $(addsuffix $(OBJ), baroclinic.fppized): baroclinic.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized advection.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized diagnostics.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_fields.fppized forcing_pt_interior.fppized forcing_s_interior.fppized forcing_sfwf.fppized forcing_shf.fppized grid.fppized horizontal_mix.fppized ice.fppized io_types.fppized kinds_mod.fppized movie.fppized overflows.fppized passive_tracers.fppized pressure_grad.fppized prognostic.fppized state_mod.fppized sw_absorption.fppized tavg.fppized time_management.fppized vertical_mix.fppized vmix_kpp.fppized) $(addsuffix $(OBJ), barotropic.fppized): barotropic.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized POP_SolversMod.fppized blocks.fppized constants.fppized domain.fppized domain_size.fppized forcing_ap.fppized forcing_fields.fppized global_reductions.fppized grid.fppized kinds_mod.fppized operators.fppized overflows.fppized prognostic.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), blocks.fppized): blocks.fppized.f90 $(addsuffix $(OBJ),$(basename ) domain_size.fppized exit_mod.fppized kinds_mod.fppized) $(addsuffix $(OBJ), box_rearrange.fppized): box_rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized pio_kinds.fppized pio_spmd_utils.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), broadcast.fppized): broadcast.fppized.f90 $(addsuffix $(OBJ),$(basename ) communicate.fppized kinds_mod.fppized) $(addsuffix $(OBJ), budget_diagnostics.fppized): budget_diagnostics.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized constants.fppized diagnostics.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_sfwf.fppized global_reductions.fppized grid.fppized ice.fppized io.fppized kinds_mod.fppized prognostic.fppized qflux_mod.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), ccsm_driver.fppized): ccsm_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized atm_comp_mct.fppized glc_comp_mct.fppized ice_comp_mct.fppized lnd_comp_mct.fppized map_atmatm_mct.fppized map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_glcglc_mct.fppized map_iceice_mct.fppized map_iceocn_mct.fppized map_lndlnd_mct.fppized map_ocnocn_mct.fppized map_rofocn_mct.fppized map_rofrof_mct.fppized map_snoglc_mct.fppized map_snosno_mct.fppized mct_mod.fppized mrg_x2a_mct.fppized mrg_x2g_mct.fppized mrg_x2i_mct.fppized mrg_x2l_mct.fppized mrg_x2o_mct.fppized mrg_x2s_mct.fppized ocn_comp_mct.fppized perf_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_domain_mct.fppized seq_flds_indices.fppized seq_flux_mct.fppized seq_frac_mct.fppized seq_hist_mod.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_rest_mod.fppized seq_timemgr_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppiz ed shr_map_mod.fppized shr_mem_mod.fppized shr_mpi_mod.fppized shr_scam_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), cfc11_mod.fppized): cfc11_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io.fppized io_tools.fppized io_types.fppized kinds_mod.fppized passive_tracer_tools.fppized prognostic.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), cfc_mod.fppized): cfc_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) POP_ErrorMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io_tools.fppized io_types.fppized kinds_mod.fppized passive_tracer_tools.fppized prognostic.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), check_mod.fppized): check_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), co2calc.fppized): co2calc.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain_size.fppized kinds_mod.fppized shr_sys_mod.fppized shr_vmath_mod.fppized state_mod.fppized) $(addsuffix $(OBJ), communicate.fppized): communicate.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized kinds_mod.fppized) $(addsuffix $(OBJ), constants.fppized): constants.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) kinds_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), current_meters.fppized): current_meters.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), datm_comp_mod.fppized): datm_comp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized datm_shr_mod.fppized mct_mod.fppized perf_mod.fppized seq_cdata_mod.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_pcdf_mod.fppized shr_strdata_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), datm_shr_mod.fppized): datm_shr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_flds_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_map_mod.fppized shr_ncread_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), dead_data_mod.fppized): dead_data_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), dead_mct_mod.fppized): dead_mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) dead_data_mod.fppized mct_mod.fppized seq_flds_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), dead_mod.fppized): dead_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) dead_data_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), diag_bsf.fppized): diag_bsf.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized broadcast.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized gather_scatter.fppized global_reductions.fppized grid.fppized io.fppized kinds_mod.fppized registry.fppized) $(addsuffix $(OBJ), diagnostics.fppized): diagnostics.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized POP_SolversMod.fppized broadcast.fppized constants.fppized domain.fppized exit_mod.fppized forcing.fppized forcing_fields.fppized gather_scatter.fppized global_reductions.fppized grid.fppized io.fppized io_tools.fppized movie.fppized prognostic.fppized registry.fppized tavg.fppized time_management.fppized timers.fppized vmix_kpp.fppized) $(addsuffix $(OBJ), diags_on_lat_aux_grid.fppized): diags_on_lat_aux_grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized gather_scatter.fppized global_reductions.fppized grid.fppized io.fppized io_tools.fppized kinds_mod.fppized registry.fppized timers.fppized) $(addsuffix $(OBJ), dice_comp_mod.fppized): dice_comp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized perf_mod.fppized seq_cdata_mod.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_flux_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_pcdf_mod.fppized shr_strdata_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), distribution.fppized): distribution.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized communicate.fppized exit_mod.fppized kinds_mod.fppized spacecurve_mod.fppized) $(addsuffix $(OBJ), dlnd_comp_mod.fppized): dlnd_comp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized perf_mod.fppized seq_cdata_mod.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_strdata_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), domain.fppized): domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_DistributionMod.fppized POP_DomainSizeMod.fppized POP_ErrorMod.fppized POP_HaloMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized distribution.fppized domain_size.fppized exit_mod.fppized io_types.fppized kinds_mod.fppized) $(addsuffix $(OBJ), domain_size.fppized): domain_size.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), drifters.fppized): drifters.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), ecosys_mod.fppized): ecosys_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized POP_MCT_vars_mod.fppized blocks.fppized broadcast.fppized co2calc.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized ecosys_parms.fppized exit_mod.fppized forcing_tools.fppized global_reductions.fppized grid.fppized io.fppized io_tools.fppized io_types.fppized kinds_mod.fppized named_field_mod.fppized passive_tracer_tools.fppized prognostic.fppized registry.fppized shr_strdata_mod.fppized shr_sys_mod.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), ecosys_parms.fppized): ecosys_parms.fppized.f90 $(addsuffix $(OBJ),$(basename ) broadcast.fppized communicate.fppized constants.fppized exit_mod.fppized io_tools.fppized io_types.fppized kinds_mod.fppized) $(addsuffix $(OBJ), exit_mod.fppized): exit_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized communicate.fppized constants.fppized kinds_mod.fppized) $(addsuffix $(OBJ), forcing.fppized): forcing.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized forcing_ap.fppized forcing_coupled.fppized forcing_fields.fppized forcing_pt_interior.fppized forcing_s_interior.fppized forcing_sfwf.fppized forcing_shf.fppized forcing_tools.fppized forcing_ws.fppized grid.fppized ice.fppized movie.fppized passive_tracers.fppized prognostic.fppized registry.fppized shr_sys_mod.fppized sw_absorption.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_ap.fppized): forcing_ap.fppized.f90 $(addsuffix $(OBJ),$(basename ) broadcast.fppized constants.fppized domain.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io.fppized kinds_mod.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_coupled.fppized): forcing_coupled.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized blocks.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_fields.fppized forcing_sfwf.fppized forcing_shf.fppized forcing_ws.fppized global_reductions.fppized grid.fppized ice.fppized io.fppized io_types.fppized kinds_mod.fppized ms_balance.fppized named_field_mod.fppized prognostic.fppized registry.fppized shr_orb_mod.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), forcing_fields.fppized): forcing_fields.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain_size.fppized kinds_mod.fppized) $(addsuffix $(OBJ), forcing_pt_interior.fppized): forcing_pt_interior.fppized.f90 $(addsuffix $(OBJ),$(basename ) broadcast.fppized constants.fppized domain.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io.fppized kinds_mod.fppized prognostic.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_s_interior.fppized): forcing_s_interior.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io.fppized kinds_mod.fppized prognostic.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_sfwf.fppized): forcing_sfwf.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized forcing_shf.fppized forcing_tools.fppized global_reductions.fppized grid.fppized ice.fppized io.fppized kinds_mod.fppized prognostic.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_shf.fppized): forcing_shf.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io.fppized kinds_mod.fppized prognostic.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_tools.fppized): forcing_tools.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain.fppized exit_mod.fppized grid.fppized io.fppized io_types.fppized kinds_mod.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_ws.fppized): forcing_ws.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io.fppized kinds_mod.fppized time_management.fppized) $(addsuffix $(OBJ), gather_scatter.fppized): gather_scatter.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized communicate.fppized constants.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized kinds_mod.fppized) $(addsuffix $(OBJ), glc_comp_mct.fppized): glc_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), global_reductions.fppized): global_reductions.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized communicate.fppized constants.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized kinds_mod.fppized registry.fppized) $(addsuffix $(OBJ), grid.fppized): grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized gather_scatter.fppized global_reductions.fppized io.fppized registry.fppized) $(addsuffix $(OBJ), history.fppized): history.fppized.f90 $(addsuffix $(OBJ),$(basename ) broadcast.fppized constants.fppized domain.fppized exit_mod.fppized forcing.fppized forcing_fields.fppized forcing_shf.fppized grid.fppized io.fppized kinds_mod.fppized prognostic.fppized time_management.fppized) $(addsuffix $(OBJ), hmix_aniso.fppized): hmix_aniso.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ReductionsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized diagnostics.fppized distribution.fppized domain.fppized exit_mod.fppized gather_scatter.fppized grid.fppized io.fppized kinds_mod.fppized time_management.fppized) $(addsuffix $(OBJ), hmix_del2.fppized): hmix_del2.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized POP_ReductionsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized diagnostics.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io.fppized tavg.fppized time_management.fppized topostress.fppized) $(addsuffix $(OBJ), hmix_del4.fppized): hmix_del4.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized POP_ReductionsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized diagnostics.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), hmix_gm.fppized): hmix_gm.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized constants.fppized diagnostics.fppized distribution.fppized domain.fppized exit_mod.fppized grid.fppized hmix_gm_submeso_share.fppized io.fppized kinds_mod.fppized registry.fppized shr_sys_mod.fppized state_mod.fppized tavg.fppized time_management.fppized timers.fppized vertical_mix.fppized vmix_kpp.fppized) $(addsuffix $(OBJ), hmix_gm_submeso_share.fppized): hmix_gm_submeso_share.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain.fppized domain_size.fppized grid.fppized kinds_mod.fppized registry.fppized state_mod.fppized time_management.fppized) $(addsuffix $(OBJ), horizontal_mix.fppized): horizontal_mix.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ConstantsMod.fppized POP_ErrorMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized hmix_aniso.fppized hmix_del2.fppized hmix_del4.fppized hmix_gm.fppized hmix_gm_submeso_share.fppized io_types.fppized kinds_mod.fppized mix_submeso.fppized prognostic.fppized tavg.fppized time_management.fppized timers.fppized topostress.fppized vertical_mix.fppized) $(addsuffix $(OBJ), hydro_sections.fppized): hydro_sections.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), iage_mod.fppized): iage_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io.fppized io_tools.fppized io_types.fppized kinds_mod.fppized passive_tracer_tools.fppized prognostic.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), ice.fppized): ice.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain_size.fppized exit_mod.fppized grid.fppized io_types.fppized kinds_mod.fppized passive_tracers.fppized prognostic.fppized time_management.fppized) $(addsuffix $(OBJ), ice_comp_mct.fppized): ice_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized dice_comp_mod.fppized mct_mod.fppized seq_cdata_mod.fppized) $(addsuffix $(OBJ), initial.fppized): initial.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized POP_SolversMod.fppized advection.fppized baroclinic.fppized barotropic.fppized blocks.fppized broadcast.fppized budget_diagnostics.fppized communicate.fppized constants.fppized diagnostics.fppized domain.fppized domain_size.fppized ecosys_mod.fppized exit_mod.fppized forcing.fppized forcing_coupled.fppized forcing_sfwf.fppized forcing_shf.fppized forcing_ws.fppized gather_scatter.fppized global_reductions.fppized grid.fppized horizontal_mix.fppized ice.fppized io.fppized io_tools.fppized kinds_mod.fppized ms_balance.fppized output.fppized overflows.fppized passive_tracers.fppized pressure_grad.fppized prognostic.fppized qflux_mod.fppized registry.fppized restart.fppized shr_map_mod.fppized shr_ncread_mod.fppized state_mod.fppized step_mod.fppized surface_hgt.fppized sw_absorption.fppized tavg.fppized tidal_mixing.fppized time_management.fppized timers.f ppized topostress.fppized vertical_mix.fppized vmix_kpp.fppized) $(addsuffix $(OBJ), io.fppized): io.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized exit_mod.fppized io_binary.fppized io_netcdf.fppized io_types.fppized kinds_mod.fppized) $(addsuffix $(OBJ), io_binary.fppized): io_binary.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized gather_scatter.fppized io_types.fppized kinds_mod.fppized) $(addsuffix $(OBJ), io_ccsm.fppized): io_ccsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized exit_mod.fppized io_binary.fppized io_netcdf.fppized io_tools.fppized io_types.fppized kinds_mod.fppized) $(addsuffix $(OBJ), io_netcdf.fppized): io_netcdf.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized gather_scatter.fppized io_pio.fppized io_tools.fppized io_types.fppized kinds_mod.fppized pio.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), io_pio.fppized): io_pio.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_DomainSizeMod.fppized POP_IOUnitsMod.fppized blocks.fppized broadcast.fppized communicate.fppized domain.fppized exit_mod.fppized io_types.fppized kinds_mod.fppized pio.fppized shr_string_mod.fppized) $(addsuffix $(OBJ), io_tools.fppized): io_tools.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized communicate.fppized io_types.fppized kinds_mod.fppized) $(addsuffix $(OBJ), io_types.fppized): io_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized broadcast.fppized communicate.fppized constants.fppized exit_mod.fppized kinds_mod.fppized pio.fppized shr_file_mod.fppized) $(addsuffix $(OBJ), iompi_mod.fppized): iompi_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), ionf_mod.fppized): ionf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) alloc_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), lnd_comp_mct.fppized): lnd_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized dlnd_comp_mod.fppized mct_mod.fppized seq_cdata_mod.fppized) $(addsuffix $(OBJ), m_Accumulator.fppized): m_Accumulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_die.fppized m_mall.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AccumulatorComms.fppized): m_AccumulatorComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_Accumulator.fppized m_AttrVect.fppized m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVect.fppized): m_AttrVect.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_List.fppized m_SortingTools.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_mall.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVectComms.fppized): m_AttrVectComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_FcComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVectReduce.fppized): m_AttrVectReduce.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ConvertMaps.fppized): m_ConvertMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalMap.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_SortingTools.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ExchangeMaps.fppized): m_ExchangeMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_ConvertMaps.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_FcComms.fppized): m_FcComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mpif90.fppized m_realkinds.fppized) $(addsuffix $(OBJ), m_FileResolv.fppized): m_FileResolv.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_StrTemplate.fppized m_die.fppized) $(addsuffix $(OBJ), m_GeneralGrid.fppized): m_GeneralGrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GeneralGridComms.fppized): m_GeneralGridComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVectComms.fppized m_GeneralGrid.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalMap.fppized): m_GlobalMap.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalSegMap.fppized): m_GlobalSegMap.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_FcComms.fppized m_MCTWorld.fppized m_SortingTools.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalSegMapComms.fppized): m_GlobalSegMapComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalToLocal.fppized): m_GlobalToLocal.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalMap.fppized m_GlobalSegMap.fppized m_Navigator.fppized m_SparseMatrix.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_char.fppized): m_IndexBin_char.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_integer.fppized): m_IndexBin_integer.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_logical.fppized): m_IndexBin_logical.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_List.fppized): m_List.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_String.fppized m_die.fppized m_mall.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MCTWorld.fppized): m_MCTWorld.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_List.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MatAttrVectMul.fppized): m_MatAttrVectMul.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_Rearranger.fppized m_SparseMatrix.fppized m_SparseMatrixPlus.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Merge.fppized): m_Merge.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_GeneralGrid.fppized m_List.fppized m_String.fppized m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MergeSorts.fppized): m_MergeSorts.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Navigator.fppized): m_Navigator.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mall.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Permuter.fppized): m_Permuter.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_realkinds.fppized) $(addsuffix $(OBJ), m_Rearranger.fppized): m_Rearranger.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_Router.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Router.fppized): m_Router.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_ExchangeMaps.fppized m_GlobalSegMap.fppized m_GlobalToLocal.fppized m_MCTWorld.fppized m_MergeSorts.fppized m_Permuter.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SortingTools.fppized): m_SortingTools.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_IndexBin_char.fppized m_IndexBin_integer.fppized m_IndexBin_logical.fppized m_MergeSorts.fppized m_Permuter.fppized m_rankMerge.fppized) $(addsuffix $(OBJ), m_SparseMatrix.fppized): m_SparseMatrix.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixComms.fppized): m_SparseMatrixComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_SparseMatrixDecomp.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixDecomp.fppized): m_SparseMatrixDecomp.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_die.fppized) $(addsuffix $(OBJ), m_SparseMatrixPlus.fppized): m_SparseMatrixPlus.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_GlobalToLocal.fppized m_List.fppized m_Rearranger.fppized m_SparseMatrix.fppized m_SparseMatrixComms.fppized m_SparseMatrixToMaps.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixToMaps.fppized): m_SparseMatrixToMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SpatialIntegral.fppized): m_SpatialIntegral.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectReduce.fppized m_GeneralGrid.fppized m_List.fppized m_SpatialIntegralV.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SpatialIntegralV.fppized): m_SpatialIntegralV.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectReduce.fppized m_List.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_StrTemplate.fppized): m_StrTemplate.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_String.fppized): m_String.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mall.fppized m_mpif90.fppized) $(addsuffix $(OBJ), m_TraceBack.fppized): m_TraceBack.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_String.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Transfer.fppized): m_Transfer.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_MCTWorld.fppized m_Router.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_die.fppized): m_die.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_dropdead.fppized m_flow.fppized m_mpif90.fppized m_mpout.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_dropdead.fppized): m_dropdead.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_flow.fppized): m_flow.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized) $(addsuffix $(OBJ), m_inpak90.fppized): m_inpak90.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_ioutil.fppized m_mall.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ioutil.fppized): m_ioutil.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_stdio.fppized) $(addsuffix $(OBJ), m_mall.fppized): m_mall.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized m_die.fppized m_ioutil.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_mpif90.fppized): m_mpif90.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_mpif.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_mpout.fppized): m_mpout.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_dropdead.fppized m_ioutil.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_rankMerge.fppized): m_rankMerge.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_realkinds.fppized) $(addsuffix $(OBJ), m_zeit.fppized): m_zeit.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_SortingTools.fppized m_die.fppized m_ioutil.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), map_atmatm_mct.fppized): map_atmatm_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmice_mct.fppized): map_atmice_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmlnd_mct.fppized): map_atmlnd_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmocn_mct.fppized): map_atmocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_glcglc_mct.fppized): map_glcglc_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_iceice_mct.fppized): map_iceice_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_iceocn_mct.fppized): map_iceocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_lndlnd_mct.fppized): map_lndlnd_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_ocnocn_mct.fppized): map_ocnocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_rofocn_mct.fppized): map_rofocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_rofrof_mct.fppized): map_rofrof_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_snoglc_mct.fppized): map_snoglc_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_snosno_mct.fppized): map_snosno_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), mct_mod.fppized): mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_Accumulator.fppized m_AttrVect.fppized m_AttrVectComms.fppized m_GeneralGrid.fppized m_GeneralGridComms.fppized m_GlobalSegMap.fppized m_GlobalSegMapComms.fppized m_GlobalToLocal.fppized m_List.fppized m_MCTWorld.fppized m_MatAttrVectMul.fppized m_MergeSorts.fppized m_Permuter.fppized m_Rearranger.fppized m_Router.fppized m_SparseMatrix.fppized m_SparseMatrixComms.fppized m_SparseMatrixPlus.fppized m_SparseMatrixToMaps.fppized m_String.fppized m_Transfer.fppized m_die.fppized m_inpak90.fppized m_stdio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), mct_rearrange.fppized): mct_rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), mix_submeso.fppized): mix_submeso.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized exit_mod.fppized grid.fppized hmix_gm_submeso_share.fppized io.fppized kinds_mod.fppized registry.fppized shr_sys_mod.fppized tavg.fppized time_management.fppized vertical_mix.fppized vmix_kpp.fppized) $(addsuffix $(OBJ), movie.fppized): movie.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized blocks.fppized broadcast.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized global_reductions.fppized grid.fppized io.fppized kinds_mod.fppized prognostic.fppized registry.fppized time_management.fppized) $(addsuffix $(OBJ), mrg_x2a_mct.fppized): mrg_x2a_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2g_mct.fppized): mrg_x2g_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2i_mct.fppized): mrg_x2i_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2l_mct.fppized): mrg_x2l_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2o_mct.fppized): mrg_x2o_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2s_mct.fppized): mrg_x2s_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ms_balance.fppized): ms_balance.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized gather_scatter.fppized global_reductions.fppized grid.fppized ice.fppized io_tools.fppized kinds_mod.fppized time_management.fppized) $(addsuffix $(OBJ), msg_mod.fppized): msg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), named_field_mod.fppized): named_field_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized domain.fppized exit_mod.fppized kinds_mod.fppized) $(addsuffix $(OBJ), nf_mod.fppized): nf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) alloc_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), ocn_comp_mct.fppized): ocn_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_FinalMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_IOUnitsMod.fppized POP_InitMod.fppized POP_KindsMod.fppized POP_MCT_vars_mod.fppized blocks.fppized communicate.fppized constants.fppized diagnostics.fppized domain.fppized exit_mod.fppized forcing_coupled.fppized forcing_fields.fppized forcing_sfwf.fppized forcing_shf.fppized global_reductions.fppized grid.fppized ice.fppized io_tools.fppized kinds_mod.fppized mct_mod.fppized named_field_mod.fppized ocn_communicator.fppized output.fppized perf_mod.fppized prognostic.fppized registry.fppized seq_cdata_mod.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_sys_mod.fppized step_mod.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), operators.fppized): operators.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain.fppized domain_size.fppized grid.fppized kinds_mod.fppized) $(addsuffix $(OBJ), output.fppized): output.fppized.f90 $(addsuffix $(OBJ),$(basename ) domain.fppized history.fppized kinds_mod.fppized movie.fppized overflows.fppized restart.fppized tavg.fppized timers.fppized) $(addsuffix $(OBJ), overflows.fppized): overflows.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_CommMod.fppized POP_ConfigMod.fppized POP_DistributionMod.fppized POP_DomainSizeMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized POP_RedistributeMod.fppized POP_SolversMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized exit_mod.fppized gather_scatter.fppized global_reductions.fppized grid.fppized io_types.fppized kinds_mod.fppized prognostic.fppized registry.fppized shr_sys_mod.fppized time_management.fppized) $(addsuffix $(OBJ), passive_tracer_tools.fppized): passive_tracer_tools.fppized.f90 $(addsuffix $(OBJ),$(basename ) communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized io.fppized io_tools.fppized io_types.fppized kinds_mod.fppized prognostic.fppized) $(addsuffix $(OBJ), passive_tracers.fppized): passive_tracers.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized cfc_mod.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized ecosys_mod.fppized exit_mod.fppized forcing_shf.fppized grid.fppized iage_mod.fppized io_tools.fppized io_types.fppized kinds_mod.fppized prognostic.fppized registry.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), perf_mod.fppized): perf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_utils.fppized) $(addsuffix $(OBJ), pio.fppized): pio.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized pio_kinds.fppized pio_types.fppized piodarray.fppized piolib_mod.fppized pionfatt_mod.fppized pionfget_mod.fppized pionfput_mod.fppized) $(addsuffix $(OBJ), pio_mpi_utils.fppized): pio_mpi_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), pio_nf_utils.fppized): pio_nf_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pionfget_mod.fppized pionfput_mod.fppized) $(addsuffix $(OBJ), pio_quicksort.fppized): pio_quicksort.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_spmd_utils.fppized): pio_spmd_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized) $(addsuffix $(OBJ), pio_support.fppized): pio_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_types.fppized): pio_types.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) pio_kinds.fppized) $(addsuffix $(OBJ), pio_utils.fppized): pio_utils.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), piodarray.fppized): piodarray.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized iompi_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pionfread_mod.fppized pionfwrite_mod.fppized rearrange.fppized) $(addsuffix $(OBJ), piolib_mod.fppized): piolib_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized iompi_mod.fppized ionf_mod.fppized pio_kinds.fppized pio_mpi_utils.fppized pio_support.fppized pio_types.fppized pionfread_mod.fppized pionfwrite_mod.fppized rearrange.fppized) $(addsuffix $(OBJ), pionfatt_mod.fppized): pionfatt_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfget_mod.fppized): pionfget_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfput_mod.fppized): pionfput_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) nf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfread_mod.fppized): pionfread_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) alloc_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfwrite_mod.fppized): pionfwrite_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) alloc_mod.fppized nf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pressure_grad.fppized): pressure_grad.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain_size.fppized exit_mod.fppized grid.fppized io_types.fppized kinds_mod.fppized operators.fppized state_mod.fppized time_management.fppized) $(addsuffix $(OBJ), prognostic.fppized): prognostic.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain.fppized domain_size.fppized kinds_mod.fppized) $(addsuffix $(OBJ), qflux_mod.fppized): qflux_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) constants.fppized exit_mod.fppized kinds_mod.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), rearrange.fppized): rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) box_rearrange.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), registry.fppized): registry.fppized.f90 $(addsuffix $(OBJ),$(basename ) exit_mod.fppized io_tools.fppized kinds_mod.fppized) $(addsuffix $(OBJ), restart.fppized): restart.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_ap.fppized forcing_fields.fppized forcing_pt_interior.fppized forcing_s_interior.fppized forcing_sfwf.fppized forcing_shf.fppized forcing_ws.fppized grid.fppized ice.fppized io.fppized io_types.fppized operators.fppized overflows.fppized passive_tracers.fppized prognostic.fppized registry.fppized time_management.fppized) $(addsuffix $(OBJ), seq_avdata_mod.fppized): seq_avdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), seq_cdata_mod.fppized): seq_cdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_comm_mct.fppized): seq_comm_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized shr_file_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_diag_mct.fppized): seq_diag_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_domain_mct.fppized): seq_domain_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_iceocn_mct.fppized map_snoglc_mct.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_drydep_mod.fppized): seq_drydep_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flds_indices.fppized): seq_flds_indices.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flds_mod.fppized): seq_flds_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flux_mct.fppized): seq_flux_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized shr_flux_mod.fppized shr_kind_mod.fppized shr_orb_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_frac_mct.fppized): seq_frac_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_iceocn_mct.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_hist_mod.fppized): seq_hist_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_infodata_mod.fppized): seq_infodata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) seq_comm_mct.fppized seq_io_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_io_mod.fppized): seq_io_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized seq_comm_mct.fppized seq_flds_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_rearr_mod.fppized): seq_rearr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_flds_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_rest_mod.fppized): seq_rest_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_timemgr_mod.fppized): seq_timemgr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized seq_comm_mct.fppized seq_io_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_cal_mod.fppized): shr_cal_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_const_mod.fppized): shr_const_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_dmodel_mod.fppized): shr_dmodel_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized perf_mod.fppized pio.fppized seq_flds_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_map_mod.fppized shr_mpi_mod.fppized shr_ncread_mod.fppized shr_stream_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_file_mod.fppized): shr_file_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_flux_mod.fppized): shr_flux_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_infnan_mod.fppized): shr_infnan_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_log_mod.fppized): shr_log_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_map_mod.fppized): shr_map_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized shr_timer_mod.fppized) $(addsuffix $(OBJ), shr_mct_mod.fppized): shr_mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_pcdf_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_mem_mod.fppized): shr_mem_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), shr_mpi_mod.fppized): shr_mpi_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), shr_msg_mod.fppized): shr_msg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_file_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_ncread_mod.fppized): shr_ncread_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_orb_mod.fppized): shr_orb_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_pcdf_mod.fppized): shr_pcdf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_scam_mod.fppized): shr_scam_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) mct_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_strdata_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_strdata_mod.fppized): shr_strdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized perf_mod.fppized pio.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_map_mod.fppized shr_mct_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_stream_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized shr_tInterp_mod.fppized) $(addsuffix $(OBJ), shr_stream_mod.fppized): shr_stream_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) perf_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_string_mod.fppized): shr_string_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_cal_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized shr_timer_mod.fppized) $(addsuffix $(OBJ), shr_sys_mod.fppized): shr_sys_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized) $(addsuffix $(OBJ), shr_tInterp_mod.fppized): shr_tInterp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_cal_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_orb_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_timer_mod.fppized): shr_timer_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_vmath_mod.fppized): shr_vmath_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), spacecurve_mod.fppized): spacecurve_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), state_mod.fppized): state_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized grid.fppized io.fppized kinds_mod.fppized shr_vmath_mod.fppized time_management.fppized) $(addsuffix $(OBJ), step_mod.fppized): step_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized baroclinic.fppized barotropic.fppized blocks.fppized budget_diagnostics.fppized communicate.fppized constants.fppized diagnostics.fppized domain.fppized domain_size.fppized forcing.fppized forcing_fields.fppized grid.fppized ice.fppized io_types.fppized overflows.fppized passive_tracers.fppized prognostic.fppized registry.fppized state_mod.fppized surface_hgt.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), surface_hgt.fppized): surface_hgt.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain.fppized domain_size.fppized forcing_fields.fppized grid.fppized kinds_mod.fppized movie.fppized prognostic.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), sw_absorption.fppized): sw_absorption.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_shf.fppized forcing_tools.fppized grid.fppized io.fppized io_types.fppized kinds_mod.fppized named_field_mod.fppized prognostic.fppized registry.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), tavg.fppized): tavg.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized constants.fppized diag_bsf.fppized diags_on_lat_aux_grid.fppized distribution.fppized domain.fppized exit_mod.fppized gather_scatter.fppized global_reductions.fppized grid.fppized io.fppized io_ccsm.fppized io_tools.fppized io_types.fppized kinds_mod.fppized operators.fppized prognostic.fppized registry.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), tidal_mixing.fppized): tidal_mixing.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized global_reductions.fppized grid.fppized io.fppized io_types.fppized kinds_mod.fppized tavg.fppized) $(addsuffix $(OBJ), time_management.fppized): time_management.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io.fppized io_tools.fppized kinds_mod.fppized registry.fppized) $(addsuffix $(OBJ), timers.fppized): timers.fppized.f90 $(addsuffix $(OBJ),$(basename ) constants.fppized domain.fppized exit_mod.fppized global_reductions.fppized io.fppized kinds_mod.fppized perf_mod.fppized) $(addsuffix $(OBJ), topostress.fppized): topostress.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized grid.fppized io.fppized) $(addsuffix $(OBJ), tracer_types.fppized): tracer_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), vertical_mix.fppized): vertical_mix.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized constants.fppized diagnostics.fppized distribution.fppized domain.fppized exit_mod.fppized grid.fppized io.fppized kinds_mod.fppized prognostic.fppized state_mod.fppized tavg.fppized time_management.fppized timers.fppized vmix_const.fppized vmix_kpp.fppized vmix_rich.fppized) $(addsuffix $(OBJ), vmix_const.fppized): vmix_const.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized constants.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io.fppized kinds_mod.fppized state_mod.fppized) $(addsuffix $(OBJ), vmix_kpp.fppized): vmix_kpp.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized communicate.fppized constants.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io.fppized io_types.fppized kinds_mod.fppized prognostic.fppized registry.fppized state_mod.fppized sw_absorption.fppized tavg.fppized tidal_mixing.fppized time_management.fppized) $(addsuffix $(OBJ), vmix_rich.fppized): vmix_rich.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized grid.fppized io.fppized kinds_mod.fppized state_mod.fppized time_management.fppized) $(addsuffix $(OBJ), wrf_error_fatal.fppized): wrf_error_fatal.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_sys_mod.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=628 NAME=pop2_s SOURCES= netcdf/attr.c netcdf/dim.c netcdf/error.c netcdf/fort-attio.c \ netcdf/fort-control.c netcdf/fort-dim.c netcdf/fort-genatt.c \ netcdf/fort-geninq.c netcdf/fort-genvar.c netcdf/fort-lib.c \ netcdf/fort-misc.c netcdf/fort-v2compat.c netcdf/fort-var1io.c \ netcdf/fort-varaio.c netcdf/fort-vario.c netcdf/fort-varmio.c \ netcdf/fort-varsio.c netcdf/libvers.c netcdf/nc.c netcdf/ncx.c \ netcdf/posixio.c netcdf/putget.c netcdf/string.c netcdf/v1hpg.c \ netcdf/v2i.c netcdf/var.c netcdf/netcdf.f90 netcdf/typeSizes.f90 \ fort.F90 mpi.c send.c recv.c collective.c req.c list.c handles.c comm.c \ group.c time.c pack.c m_IndexBin_char.F90 m_IndexBin_integer.F90 \ m_IndexBin_logical.F90 m_List.F90 m_MergeSorts.F90 m_Filename.F90 \ m_FcComms.F90 m_Permuter.F90 m_SortingTools.F90 m_String.F90 \ m_StrTemplate.F90 m_chars.F90 m_die.F90 m_dropdead.F90 m_FileResolv.F90 \ m_flow.F90 m_inpak90.F90 m_ioutil.F90 m_mall.F90 m_mpif.F90 m_mpif90.F90 \ m_mpout.F90 m_rankMerge.F90 m_realkinds.F90 m_stdio.F90 m_TraceBack.F90 \ m_zeit.F90 get_zeits.c m_MCTWorld.F90 m_AttrVect.F90 m_GlobalMap.F90 \ m_GlobalSegMap.F90 m_GlobalSegMapComms.F90 m_Accumulator.F90 \ m_SparseMatrix.F90 m_Navigator.F90 m_AttrVectComms.F90 \ m_AttrVectReduce.F90 m_AccumulatorComms.F90 m_GeneralGrid.F90 \ m_GeneralGridComms.F90 m_SpatialIntegral.F90 m_SpatialIntegralV.F90 \ m_MatAttrVectMul.F90 m_Merge.F90 m_GlobalToLocal.F90 m_ExchangeMaps.F90 \ m_ConvertMaps.F90 m_SparseMatrixDecomp.F90 m_SparseMatrixToMaps.F90 \ m_SparseMatrixComms.F90 m_SparseMatrixPlus.F90 m_Router.F90 \ m_Rearranger.F90 m_Transfer.F90 alloc_mod.F90 box_rearrange.F90 \ iompi_mod.F90 ionf_mod.F90 mct_rearrange.F90 nf_mod.F90 piodarray.F90 \ pio.F90 pio_kinds.F90 piolib_mod.F90 pio_mpi_utils.F90 pionfatt_mod.F90 \ pionfget_mod.F90 pionfput_mod.F90 pionfread_mod.F90 pio_nf_utils.F90 \ pionfwrite_mod.F90 pio_quicksort.F90 pio_spmd_utils.F90 pio_support.F90 \ pio_types.F90 pio_utils.F90 pnetcdfversion.c rearrange.F90 topology.c \ dead_data_mod.F90 dead_mct_mod.F90 dead_mod.F90 ESMF_AlarmClockMod.F90 \ ESMF_AlarmMod.F90 ESMF_BaseMod.F90 ESMF_BaseTimeMod.F90 \ ESMF_CalendarMod.F90 ESMF_ClockMod.F90 ESMF_FractionMod.F90 ESMF_Mod.F90 \ ESMF_Stubs.F90 ESMF_TimeIntervalMod.F90 ESMF_TimeMod.F90 f_wrappers.c \ GPTLget_memusage.c GPTLprint_memusage.c GPTLutil.c mct_mod.F90 Meat.F90 \ perf_mod.F90 perf_utils.F90 seq_cdata_mod.F90 seq_comm_mct.F90 \ seq_drydep_mod.F90 seq_flds_indices.F90 seq_flds_mod.F90 \ seq_infodata_mod.F90 seq_io_mod.F90 seq_timemgr_mod.F90 shr_cal_mod.F90 \ shr_const_mod.F90 shr_dmodel_mod.F90 shr_file_mod.F90 shr_flux_mod.F90 \ shr_jlcp.c shr_kind_mod.F90 shr_log_mod.F90 shr_map_mod.F90 \ shr_mct_mod.F90 shr_mem_mod.F90 shr_mpi_mod.F90 shr_msg_mod.F90 \ shr_ncread_mod.F90 shr_orb_mod.F90 shr_pcdf_mod.F90 shr_scam_mod.F90 \ shr_strdata_mod.F90 shr_stream_mod.F90 shr_string_mod.F90 \ shr_sys_mod.F90 shr_timer_mod.F90 shr_tInterp_mod.F90 shr_vmath_fwrap.c \ shr_vmath_mod.F90 threadutil.c wrf_error_fatal.F90 wrf_message.F90 \ atm_comp_mct.F90 datm_comp_mod.F90 datm_shr_mod.F90 dlnd_comp_mod.F90 \ lnd_comp_mct.F90 dice_comp_mod.F90 ice_comp_mct.F90 POP_BlocksMod.F90 \ POP_BroadcastMod.F90 POP_CommMod.F90 POP_ConfigMod.F90 \ POP_ConstantsMod.F90 POP_DistributionMod.F90 POP_DomainSizeMod.F90 \ POP_ErrorMod.F90 POP_FieldMod.F90 POP_FinalMod.F90 POP_GridDimMod.F90 \ POP_GridHorzMod.F90 POP_GridVertMod.F90 POP_HaloMod.F90 \ POP_IOUnitsMod.F90 POP_InitMod.F90 POP_KindsMod.F90 POP_MCT_vars_mod.F90 \ POP_RedistributeMod.F90 POP_ReductionsMod.F90 POP_SolversMod.F90 \ advection.F90 baroclinic.F90 barotropic.F90 blocks.F90 broadcast.F90 \ budget_diagnostics.F90 cfc11_mod.F90 cfc_mod.F90 check_mod.F90 \ co2calc.F90 communicate.F90 constants.F90 current_meters.F90 \ diag_bsf.F90 diagnostics.F90 diags_on_lat_aux_grid.F90 distribution.F90 \ domain.F90 domain_size.F90 drifters.F90 ecosys_mod.F90 ecosys_parms.F90 \ exit_mod.F90 forcing.F90 forcing_ap.F90 forcing_coupled.F90 \ forcing_fields.F90 forcing_pt_interior.F90 forcing_s_interior.F90 \ forcing_sfwf.F90 forcing_shf.F90 forcing_tools.F90 forcing_ws.F90 \ gather_scatter.F90 global_reductions.F90 grid.F90 history.F90 \ hmix_aniso.F90 hmix_del2.F90 hmix_del4.F90 hmix_gm.F90 \ hmix_gm_submeso_share.F90 horizontal_mix.F90 hydro_sections.F90 \ iage_mod.F90 ice.F90 initial.F90 io.F90 io_binary.F90 io_ccsm.F90 \ io_netcdf.F90 io_pio.F90 io_tools.F90 io_types.F90 kinds_mod.F90 \ mix_submeso.F90 movie.F90 ms_balance.F90 msg_mod.F90 named_field_mod.F90 \ ocn_communicator.F90 ocn_comp_mct.F90 operators.F90 output.F90 \ overflows.F90 passive_tracer_tools.F90 passive_tracers.F90 \ pressure_grad.F90 prognostic.F90 qflux_mod.F90 registry.F90 restart.F90 \ spacecurve_mod.F90 state_mod.F90 step_mod.F90 surface_hgt.F90 \ sw_absorption.F90 tavg.F90 tidal_mixing.F90 time_management.F90 \ timers.F90 topostress.F90 tracer_types.F90 vertical_mix.F90 \ vmix_const.F90 vmix_kpp.F90 vmix_rich.F90 glc_comp_mct.F90 \ ccsm_driver.F90 map_atmatm_mct.F90 map_atmice_mct.F90 map_atmlnd_mct.F90 \ map_atmocn_mct.F90 map_glcglc_mct.F90 map_iceice_mct.F90 \ map_iceocn_mct.F90 map_lndlnd_mct.F90 map_ocnocn_mct.F90 \ map_rofocn_mct.F90 map_rofrof_mct.F90 map_snoglc_mct.F90 \ map_snosno_mct.F90 mrg_x2a_mct.F90 mrg_x2g_mct.F90 mrg_x2i_mct.F90 \ mrg_x2l_mct.F90 mrg_x2o_mct.F90 mrg_x2s_mct.F90 seq_avdata_mod.F90 \ seq_diag_mct.F90 seq_domain_mct.F90 seq_flux_mct.F90 seq_frac_mct.F90 \ seq_hist_mod.F90 seq_rearr_mod.F90 seq_rest_mod.F90 EXEBASE=speed_pop2 NEED_MATH= BENCHLANG=F C BENCH_CFLAGS = -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 BENCH_FPPFLAGS = -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CPORTABILITY = -DSPEC_CASE_FLAG CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v FPORTABILITY = -fconvert=big-endian OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 action = build allow_label_override = 0 backup_config = 1 baseexe = speed_pop2 basepeak = 0 benchdir = benchspec benchmark = 628.pop2_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = speed_pop2_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = pop2_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 628 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.906000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = ocn.log Compile for '628.pop2_s' started at: 2021-07-08 15:02:47 (1625770967) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:02:47 (1625770967.7465) rm -rf *.o ocn.log find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf speed_pop2 rm -rf speed_pop2.exe rm -rf core Stop make.clean command: 2021-07-08 15:02:47 (1625770967.91024) Elapsed time for make.clean command: 00:00:00 (0.163737058639526) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:02:47 (1625770967.91354) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/attr.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/attr.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/dim.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/dim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/error.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/error.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-attio.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-attio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-control.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-control.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-dim.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-dim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-genatt.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-genatt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-geninq.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-geninq.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-genvar.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-genvar.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-lib.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-lib.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-misc.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-misc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-v2compat.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-v2compat.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-var1io.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-var1io.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varaio.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varaio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-vario.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-vario.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varmio.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varmio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varsio.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varsio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/libvers.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/libvers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/nc.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/nc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/ncx.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/ncx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/posixio.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/posixio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/putget.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/putget.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/string.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/string.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/v1hpg.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/v1hpg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/v2i.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/v2i.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/var.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/var.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o netcdf/typeSizes.o -O2 -mtune=generic -fconvert=big-endian -fopenmp netcdf/typeSizes.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o netcdf/netcdf.o -O2 -mtune=generic -fconvert=big-endian -fopenmp netcdf/netcdf.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 fort.F90 -o fort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fort.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp fort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mpi.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 mpi.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o send.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 send.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o recv.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 recv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o collective.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 collective.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o req.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 req.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o list.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 list.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o handles.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 handles.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o comm.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 comm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o group.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 group.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o time.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 time.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pack.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 pack.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_IndexBin_char.F90 -o m_IndexBin_char.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_die.F90 -o m_die.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_dropdead.F90 -o m_dropdead.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_mpif90.F90 -o m_mpif90.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_mpif.F90 -o m_mpif.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mpif.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_mpif.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_realkinds.F90 -o m_realkinds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_realkinds.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_realkinds.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_stdio.F90 -o m_stdio.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_stdio.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_stdio.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mpif90.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_mpif90.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_dropdead.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_dropdead.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_flow.F90 -o m_flow.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_chars.F90 -o m_chars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_chars.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_chars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_flow.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_flow.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_mpout.F90 -o m_mpout.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_ioutil.F90 -o m_ioutil.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_ioutil.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_ioutil.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mpout.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_mpout.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_die.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_die.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_IndexBin_char.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_IndexBin_char.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_IndexBin_integer.F90 -o m_IndexBin_integer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_IndexBin_integer.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_IndexBin_integer.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_IndexBin_logical.F90 -o m_IndexBin_logical.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_IndexBin_logical.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_IndexBin_logical.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_List.F90 -o m_List.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_String.F90 -o m_String.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_mall.F90 -o m_mall.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mall.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_mall.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_String.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_String.fppized.f90 m_String.fppized.f90:475:17: 454 | call MPI_bcast(ln,1,MP_INTEGER,root,comm,ier) | 2 ...... 475 | call MPI_bcast(Str%c(1),ln,MP_CHARACTER,root,comm,ier) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(1)/INTEGER(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_List.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_List.fppized.f90 m_List.fppized.f90:1943:15: 1925 | call MPI_RECV(length, 1, MP_type(length), source, TagBase, comm, & | 2 ...... 1943 | call MPI_RECV(DummStr%c(1), length, MP_CHARACTER, source, TagBase+1, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(1)/INTEGER(4)). m_List.fppized.f90:1844:15: 1829 | call MPI_SEND(length, 1, MP_type(length), dest, TagBase, comm, ierr) | 2 ...... 1844 | call MPI_SEND(DummStr%c(1), length, MP_CHARACTER, dest, TagBase+1, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(1)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_MergeSorts.F90 -o m_MergeSorts.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_MergeSorts.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_MergeSorts.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Filename.F90 -o m_Filename.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Filename.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_Filename.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_FcComms.F90 -o m_FcComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_FcComms.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_FcComms.fppized.f90 m_FcComms.fppized.f90:416:33: 416 | call mpi_irecv ( recvbuf(displs(q)+1), recvcnts(q), & | 1 ...... 559 | call mpi_irecv ( recvbuf(displs(q)+1), recvcnts(q), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:419:32: 419 | call mpi_send ( signal, 1, recvtype, p, mtag, comm, ier ) | 1 ...... 562 | call mpi_send ( signal, 1, recvtype, p, mtag, comm, ier ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:438:26: 438 | call mpi_recv ( signal, 1, sendtype, root, mtag, comm, & | 1 ...... 581 | call mpi_recv ( signal, 1, sendtype, root, mtag, comm, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:440:27: 440 | call mpi_rsend ( sendbuf, sendcnt, sendtype, root, mtag, & | 1 ...... 583 | call mpi_rsend ( sendbuf, sendcnt, sendtype, root, mtag, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:451:22: 451 | call mpi_gatherv (sendbuf, sendcnt, sendtype, & | 1 ...... 594 | call mpi_gatherv (sendbuf, sendcnt, sendtype, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:167:21: 167 | call mpi_gather (sendbuf, sendcnt, sendtype, & | 1 ...... 309 | call mpi_gather (sendbuf, sendcnt, sendtype, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Permuter.F90 -o m_Permuter.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Permuter.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_Permuter.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SortingTools.F90 -o m_SortingTools.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_rankMerge.F90 -o m_rankMerge.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_rankMerge.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_rankMerge.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SortingTools.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_SortingTools.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_StrTemplate.F90 -o m_StrTemplate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_StrTemplate.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_StrTemplate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_FileResolv.F90 -o m_FileResolv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_FileResolv.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_FileResolv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_inpak90.F90 -o m_inpak90.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_inpak90.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_inpak90.fppized.f90 m_inpak90.fppized.f90:369:17: 362 | call MPI_Bcast(i90_now%buffer,NBUF_MAX,MP_CHARACTER,root,comm,ier) | 2 ...... 369 | call MPI_Bcast(i90_now%nbuf,1,MP_INTEGER,root,comm,ier) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/CHARACTER(*)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_TraceBack.F90 -o m_TraceBack.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_TraceBack.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_TraceBack.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_zeit.F90 -o m_zeit.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_zeit.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_zeit.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o get_zeits.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 get_zeits.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_MCTWorld.F90 -o m_MCTWorld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_MCTWorld.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_MCTWorld.fppized.f90 m_MCTWorld.fppized.f90:323:22: 263 | call MPI_SEND(mysize,1,MP_INTEGER,0,myids(i),globalcomm,ier) | 2 ...... 323 | call MPI_SEND(Gprocids,mysize,MP_INTEGER,0,myids(i),globalcomm,ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_AttrVect.F90 -o m_AttrVect.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AttrVect.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_AttrVect.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_GlobalMap.F90 -o m_GlobalMap.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalMap.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_GlobalMap.fppized.f90 m_GlobalMap.fppized.f90:377:17: 354 | call MPI_bcast(nPEs, 1, MP_INTEGER, my_root, my_comm, ier) | 2 ...... 377 | call MPI_bcast(GMap%counts, nPEs, MP_INTEGER, my_root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalMap.fppized.f90:257:17: 257 | call MPI_bcast(GMap%counts, nPEs, MP_INTEGER, root, comm, ier) | 1 ...... 354 | call MPI_bcast(nPEs, 1, MP_INTEGER, my_root, my_comm, ier) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_GlobalSegMap.F90 -o m_GlobalSegMap.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalSegMap.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_GlobalSegMap.fppized.f90 m_GlobalSegMap.fppized.f90:549:17: 520 | call MPI_BCAST(GSMap%ngseg, 1, MP_INTEGER, root, my_comm, ier) | 2 ...... 549 | call MPI_BCAST(GSMap%start, GSMap%ngseg, MP_INTEGER, root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMap.fppized.f90:552:17: 520 | call MPI_BCAST(GSMap%ngseg, 1, MP_INTEGER, root, my_comm, ier) | 2 ...... 552 | call MPI_BCAST(GSMap%length, GSMap%ngseg, MP_INTEGER, root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMap.fppized.f90:555:17: 520 | call MPI_BCAST(GSMap%ngseg, 1, MP_INTEGER, root, my_comm, ier) | 2 ...... 555 | call MPI_BCAST(GSMap%pe_loc, GSMap%ngseg, MP_INTEGER, root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_GlobalSegMapComms.F90 -o m_GlobalSegMapComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalSegMapComms.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_GlobalSegMapComms.fppized.f90 m_GlobalSegMapComms.fppized.f90:258:17: 238 | call MPI_ISEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 258 | call MPI_ISEND(outgoingGSMap%start, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:265:17: 238 | call MPI_ISEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 265 | call MPI_ISEND(outgoingGSMap%length, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:272:17: 238 | call MPI_ISEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 272 | call MPI_ISEND(outgoingGSMap%pe_loc, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:133:16: 112 | call MPI_SEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 133 | call MPI_SEND(outgoingGSMap%start, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:140:16: 112 | call MPI_SEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 140 | call MPI_SEND(outgoingGSMap%length, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:147:16: 112 | call MPI_SEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 147 | call MPI_SEND(outgoingGSMap%pe_loc, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Accumulator.F90 -o m_Accumulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Accumulator.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_Accumulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrix.F90 -o m_SparseMatrix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrix.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_SparseMatrix.fppized.f90 m_SparseMatrix.fppized.f90:2374:21: 2344 | call MPI_ALLREDUCE(end_row, num_rows, 1, MP_INTEGER, MP_MAX, & | 2 ...... 2374 | call MPI_ALLREDUCE(lsums, gsums, num_rows, mp_Type_lsums, MP_SUM, comm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Navigator.F90 -o m_Navigator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Navigator.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_Navigator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_AttrVectComms.F90 -o m_AttrVectComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AttrVectComms.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_AttrVectComms.fppized.f90 m_AttrVectComms.fppized.f90:1657:20: 1570 | call MPI_bcast(nIA,1,MP_INTEGER,root,comm,ier) | 2 ...... 1657 | call MPI_bcast(aV%iAttr,nIA*lsize,mp_Type_aV,root,comm,ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) m_AttrVectComms.fppized.f90:1669:20: 1570 | call MPI_bcast(nIA,1,MP_INTEGER,root,comm,ier) | 2 ...... 1669 | call MPI_bcast(aV%rAttr,nRA*lsize,mp_Type_aV,root,comm,ier) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_AttrVectComms.fppized.f90:1070:26: 1041 | call MPI_scatterv(iV%iAttr(1,1),GMap%counts*nIA, & | 2 ...... 1070 | call MPI_scatterv(iV%rAttr(1,1),GMap%counts*nRA, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_AttrVectComms.fppized.f90:356:16: 319 | call MPI_RECV(ListAssoc, 2, MP_LOGICAL, dest, TagBase, comm, & | 2 ...... 356 | call MPI_RECV(AVlength, 1, MP_type(AVlength), dest, TagBase+5, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). m_AttrVectComms.fppized.f90:206:16: 163 | call MPI_SEND(ListAssoc, 2, MP_LOGICAL, dest, TagBase, comm, ierr) | 2 ...... 206 | call MPI_SEND(AVlength, 1, MP_type(AVlength), dest, TagBase+5, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_AttrVectReduce.F90 -o m_AttrVectReduce.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AttrVectReduce.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_AttrVectReduce.fppized.f90 m_AttrVectReduce.fppized.f90:535:27: 503 | call MPI_AllReduce(inAV%rAttr, outAV%rAttr, BufferSize, & | 2 ...... 535 | call MPI_AllReduce(inAV%iAttr, outAV%iAttr, BufferSize, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_AccumulatorComms.F90 -o m_AccumulatorComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AccumulatorComms.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_AccumulatorComms.fppized.f90 m_AccumulatorComms.fppized.f90:713:17: 677 | call MPI_BCAST(AccBuffSize, 1, MP_INTEGER, root, comm, ier) | 2 ...... 713 | call MPI_BCAST(AccBuff, AccBuffSize, MP_INTEGER, root, comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_GeneralGrid.F90 -o m_GeneralGrid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GeneralGrid.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_GeneralGrid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_GeneralGridComms.F90 -o m_GeneralGridComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GeneralGridComms.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_GeneralGridComms.fppized.f90 m_GeneralGridComms.fppized.f90:1369:20: 1281 | call MPI_BCAST(HeaderAssoc,6,MP_LOGICAL,root,comm,ierr) | 2 ...... 1369 | call MPI_BCAST(DescendSize, 1, MP_INTEGER, root, comm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). m_GeneralGridComms.fppized.f90:476:19: 424 | call MPI_RECV(HeaderAssoc, 6, MP_LOGICAL, source, TagBase, ThisMCTWorld%MCT_comm, MPstatus, ierr) | 2 ...... 476 | call MPI_RECV(DescendSize, 1, MP_type(DescendSize), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). m_GeneralGridComms.fppized.f90:210:19: 156 | call MPI_SEND(HeaderAssoc, 6, MP_LOGICAL, dest, TagBase, ThisMCTWorld%MCT_comm, ierr) | 2 ...... 210 | call MPI_SEND(size(iGGrid%descend), 1, MP_type(size(iGGrid%descend)), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SpatialIntegral.F90 -o m_SpatialIntegral.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SpatialIntegralV.F90 -o m_SpatialIntegralV.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SpatialIntegralV.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_SpatialIntegralV.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SpatialIntegral.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_SpatialIntegral.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_MatAttrVectMul.F90 -o m_MatAttrVectMul.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Rearranger.F90 -o m_Rearranger.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Router.F90 -o m_Router.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_ExchangeMaps.F90 -o m_ExchangeMaps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_ConvertMaps.F90 -o m_ConvertMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_ConvertMaps.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_ConvertMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_ExchangeMaps.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_ExchangeMaps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_GlobalToLocal.F90 -o m_GlobalToLocal.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalToLocal.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_GlobalToLocal.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Router.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_Router.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Rearranger.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_Rearranger.fppized.f90 m_Rearranger.fppized.f90:926:26: 899 | call MPI_IRECV(IRecvBuf(IRecvLoc(proc)), & | 2 ...... 926 | call MPI_IRECV(RRecvBuf(RRecvLoc(proc)), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_Rearranger.fppized.f90:1014:26: 987 | call MPI_ISEND(ISendBuf(ISendLoc(proc)), & | 2 ...... 1014 | call MPI_ISEND(RSendBuf(RSendLoc(proc)), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_Rearranger.fppized.f90:1091:24: 1085 | call MPI_Alltoallv(ISendBuf, ISendCnts, ISdispls, MP_INTEGER, & | 2 ...... 1091 | call MPI_Alltoallv(RSendBuf, RSendCnts, RSdispls, mp_Type_rp, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixPlus.F90 -o m_SparseMatrixPlus.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixComms.F90 -o m_SparseMatrixComms.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixDecomp.F90 -o m_SparseMatrixDecomp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixDecomp.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_SparseMatrixDecomp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixComms.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_SparseMatrixComms.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixToMaps.F90 -o m_SparseMatrixToMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixToMaps.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_SparseMatrixToMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixPlus.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_SparseMatrixPlus.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_MatAttrVectMul.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_MatAttrVectMul.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Merge.F90 -o m_Merge.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Merge.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_Merge.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Transfer.F90 -o m_Transfer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Transfer.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp m_Transfer.fppized.f90 m_Transfer.fppized.f90:551:26: 526 | call MPI_IRECV(Rout%ip1(proc)%pi(1), & | 2 ...... 551 | call MPI_IRECV(Rout%rp1(proc)%pr(1), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_Transfer.fppized.f90:256:22: 240 | call MPI_ISEND(Rout%ip1(proc)%pi(1), & | 2 ...... 256 | call MPI_ISEND(Rout%rp1(proc)%pr(1), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 alloc_mod.F90 -o alloc_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_kinds.F90 -o pio_kinds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_kinds.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pio_kinds.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_support.F90 -o pio_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_support.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pio_support.fppized.f90 pio_support.fppized.f90:264:30: 261 | call MPI_SEND(sdof,1,MPI_INTEGER,n,n,comm,status,ierr) | 2 ...... 264 | call MPI_SEND(wdof,sdof,MPI_INTEGER,n,npes+n,comm,status,ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_support.fppized.f90:277:24: 272 | call MPI_RECV(sdof,1,MPI_INTEGER,masterproc,myrank,comm,status,ierr) | 2 ...... 277 | call MPI_RECV(dof,sdof,MPI_INTEGER,masterproc,npes+myrank,comm,status,ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_support.fppized.f90:169:27: 169 | call MPI_SEND(dof,sdof,MPI_INTEGER,masterproc,n,comm,status,ierr) | 1 ...... 261 | call MPI_SEND(sdof,1,MPI_INTEGER,n,n,comm,status,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_support.fppized.f90:173:27: 173 | call MPI_RECV(wdof,sdof1d(n),MPI_INTEGER,n,n,comm,status,ierr) | 1 ...... 272 | call MPI_RECV(sdof,1,MPI_INTEGER,masterproc,myrank,comm,status,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_types.F90 -o pio_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_types.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pio_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o alloc_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp alloc_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 box_rearrange.F90 -o box_rearrange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_spmd_utils.F90 -o pio_spmd_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_spmd_utils.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pio_spmd_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o box_rearrange.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp box_rearrange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 iompi_mod.F90 -o iompi_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o iompi_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp iompi_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ionf_mod.F90 -o ionf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_utils.F90 -o pio_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_utils.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pio_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ionf_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ionf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mct_rearrange.F90 -o mct_rearrange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mct_rearrange.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp mct_rearrange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 nf_mod.F90 -o nf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp nf_mod.fppized.f90 nf_mod.fppized.f90:1016:28: 1016 | call MPI_BCAST(dimname,len(dimname),MPI_CHARACTER,0,File%iosystem%IO_comm, mpierr) | 1 ...... 1291 | call MPI_BCAST(vardesc%varid, 1, MPI_INTEGER, 0, File%iosystem%IO_Comm, ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(*)/INTEGER(4)). nf_mod.fppized.f90:815:28: 815 | call MPI_BCAST(dimids,size(dimids),MPI_INTEGER,0,File%iosystem%IO_comm, mpierr) | 1 ...... 1291 | call MPI_BCAST(vardesc%varid, 1, MPI_INTEGER, 0, File%iosystem%IO_Comm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:826:22: 826 | call MPI_BCAST(dimids,size(dimids),MPI_INTEGER,File%iosystem%IOMaster,File%iosystem%Comp_comm, mpierr) | 1 ...... 1291 | call MPI_BCAST(vardesc%varid, 1, MPI_INTEGER, 0, File%iosystem%IO_Comm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:206:28: 206 | call MPI_BCAST(vals,4,MPI_INTEGER,0,File%iosystem%IO_comm, mpierr) | 1 ...... 1291 | call MPI_BCAST(vardesc%varid, 1, MPI_INTEGER, 0, File%iosystem%IO_Comm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:220:22: 220 | call MPI_BCAST(vals,4,MPI_INTEGER,File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 1 ...... 1291 | call MPI_BCAST(vardesc%varid, 1, MPI_INTEGER, 0, File%iosystem%IO_Comm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 piodarray.F90 -o piodarray.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pionfread_mod.F90 -o pionfread_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfread_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pionfread_mod.fppized.f90 pionfread_mod.fppized.f90:395:28: 387 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 ...... 395 | call MPI_SEND( iobuf_size,1,MPI_INTEGER, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:423:31: 399 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 ...... 423 | call MPI_RECV( iobuf_size, 1, MPI_INTEGER, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:250:28: 250 | call MPI_SEND( iobuf_size,1,MPI_INTEGER, & | 1 ...... 387 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:254:28: 254 | call MPI_RECV( IOBUF,size(IOBUF), & | 1 ...... 399 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfread_mod.fppized.f90:278:31: 278 | call MPI_RECV( iobuf_size, 1, MPI_INTEGER, & | 1 ...... 399 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:285:31: 285 | call MPI_SEND( IOBUF,iobuf_size, & | 1 ...... 387 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfread_mod.fppized.f90:105:28: 105 | call MPI_SEND( iobuf_size,1,MPI_INTEGER, & | 1 ...... 387 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:133:31: 133 | call MPI_RECV( iobuf_size, 1, MPI_INTEGER, & | 1 ...... 399 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pionfwrite_mod.F90 -o pionfwrite_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfwrite_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pionfwrite_mod.fppized.f90 pionfwrite_mod.fppized.f90:464:28: 459 | call MPI_SEND( temp_IOBUF,max_iobuf_size, & | 2 ...... 464 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). pionfwrite_mod.fppized.f90:493:34: 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 ...... 493 | call MPI_RECV( temp_iobuf, max_iobuf_size, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfwrite_mod.fppized.f90:499:34: 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 ...... 499 | call MPI_RECV( temp_start, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:505:34: 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 ...... 505 | call MPI_RECV( temp_count, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:319:34: 319 | call MPI_RECV( temp_iobuf, max_iobuf_size, & | 1 ...... 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:325:34: 325 | call MPI_RECV( temp_start, & | 1 ...... 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:331:34: 331 | call MPI_RECV( temp_count, & | 1 ...... 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:151:34: 151 | call MPI_RECV( temp_start, & | 1 ...... 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:157:34: 157 | call MPI_RECV( temp_count, & | 1 ...... 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 rearrange.F90 -o rearrange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rearrange.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp rearrange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o piodarray.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp piodarray.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio.F90 -o pio.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 piolib_mod.F90 -o piolib_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_mpi_utils.F90 -o pio_mpi_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_mpi_utils.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pio_mpi_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o piolib_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp piolib_mod.fppized.f90 piolib_mod.fppized.f90:1673:19: 1666 | call mpi_bcast(amode, 1, MPI_INTEGER, 0, iosystem%comp_comm, ierr) | 2 ...... 1673 | call mpi_bcast(myfname, len(fname), mpi_character, 0, iosystem%comp_comm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(360)/INTEGER(4)). piolib_mod.fppized.f90:808:26: 808 | call mpi_allreduce(iosize, iodesc%maxiobuflen, 1, mpi_integer, mpi_max, iosystem%io_comm, ierr) | 1 ...... 1026 | call mpi_allreduce(fanfactor,rtmp,1,MPI_REAL8,MPI_MAX,iocomm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pionfatt_mod.F90 -o pionfatt_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfatt_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pionfatt_mod.fppized.f90 pionfatt_mod.fppized.f90:1065:28: 1065 | call MPI_BCAST(value,clen ,MPI_INTEGER ,0,File%iosystem%IO_comm, mpierr) | 1 ...... 1121 | call MPI_BCAST(value,clen ,MPI_REAL8 ,0,File%iosystem%IO_comm, mpierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). pionfatt_mod.fppized.f90:899:28: 899 | call MPI_BCAST(value,clen ,MPI_REAL8 ,0,File%iosystem%IO_comm, mpierr) | 1 ...... 1121 | call MPI_BCAST(value,clen ,MPI_REAL8 ,0,File%iosystem%IO_comm, mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfatt_mod.fppized.f90:912:22: 912 | call MPI_BCAST(value, clen, MPI_REAL8,File%iosystem%iomaster,File%iosystem%comp_comm, mpierr) | 1 ...... 1121 | call MPI_BCAST(value,clen ,MPI_REAL8 ,0,File%iosystem%IO_comm, mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pionfget_mod.F90 -o pionfget_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfget_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pionfget_mod.fppized.f90 pionfget_mod.fppized.f90:2722:22: 2722 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 1 ...... 2937 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfget_mod.fppized.f90:2680:22: 2680 | call MPI_Bcast(ival,int(isize), MPI_REAL8 , File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 1 ...... 2937 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfget_mod.fppized.f90:281:19: 281 | call MPI_Bcast(ival, ilen, MPI_INTEGER , File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 1 ...... 2937 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pionfput_mod.F90 -o pionfput_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfput_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pionfput_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pio.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_nf_utils.F90 -o pio_nf_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_nf_utils.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pio_nf_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_quicksort.F90 -o pio_quicksort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_quicksort.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pio_quicksort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pnetcdfversion.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 pnetcdfversion.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o topology.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 topology.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 dead_data_mod.F90 -o dead_data_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_kind_mod.F90 -o shr_kind_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_kind_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_kind_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dead_data_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp dead_data_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 dead_mct_mod.F90 -o dead_mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mct_mod.F90 -o mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_const_mod.F90 -o shr_const_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_const_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_const_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_log_mod.F90 -o shr_log_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_log_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_log_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_mpi_mod.F90 -o shr_mpi_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_mpi_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_mpi_mod.fppized.f90 shr_mpi_mod.fppized.f90:2139:24: 2139 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 1 ...... 2196 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:2142:21: 2142 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 1 ...... 2199 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:2082:24: 2082 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_INTEGER,reduce_type,comm,ierr) | 1 ...... 2196 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:2085:21: 2085 | call MPI_REDUCE(lvec,gvec,gsize,MPI_INTEGER,reduce_type,0,comm,ierr) | 1 ...... 2199 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:1911:24: 1911 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 1 ...... 2196 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1914:21: 1914 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 1 ...... 2199 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1569:24: 1569 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 1 ...... 2196 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1572:21: 1572 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 1 ...... 2199 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1085:18: 1085 | call MPI_BCAST(arr,lsize,MPI_INTEGER,lpebcast,comm,ierr) | 1 ...... 1123 | call MPI_BCAST(arr,lsize,MPI_REAL8,lpebcast,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:901:18: 901 | call MPI_BCAST(vec,lsize,MPI_REAL8,lpebcast,comm,ierr) | 1 ...... 1123 | call MPI_BCAST(arr,lsize,MPI_REAL8,lpebcast,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:651:17: 651 | call MPI_RECV(lvec,lsize,MPI_REAL8,pid,tag,comm,status,ierr) | 1 ...... 721 | call MPI_RECV(array,lsize,MPI_REAL8,pid,tag,comm,status,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:616:17: 616 | call MPI_RECV(lvec,lsize,MPI_INTEGER,pid,tag,comm,status,ierr) | 1 ...... 721 | call MPI_RECV(array,lsize,MPI_REAL8,pid,tag,comm,status,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:478:17: 478 | call MPI_SEND(lvec,lsize,MPI_REAL8,pid,tag,comm,ierr) | 1 ...... 546 | call MPI_SEND(array,lsize,MPI_REAL8,pid,tag,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:444:17: 444 | call MPI_SEND(lvec,lsize,MPI_INTEGER,pid,tag,comm,ierr) | 1 ...... 546 | call MPI_SEND(array,lsize,MPI_REAL8,pid,tag,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_sys_mod.F90 -o shr_sys_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_sys_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_sys_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mct_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_flds_mod.F90 -o seq_flds_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_drydep_mod.F90 -o seq_drydep_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_file_mod.F90 -o shr_file_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_file_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_file_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_drydep_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_drydep_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_string_mod.F90 -o shr_string_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_cal_mod.F90 -o shr_cal_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Mod.F90 -o ESMF_Mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_AlarmClockMod.F90 -o ESMF_AlarmClockMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_AlarmMod.F90 -o ESMF_AlarmMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_BaseMod.F90 -o ESMF_BaseMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_BaseMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_BaseMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_TimeIntervalMod.F90 -o ESMF_TimeIntervalMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_BaseTimeMod.F90 -o ESMF_BaseTimeMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_BaseTimeMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_BaseTimeMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_CalendarMod.F90 -o ESMF_CalendarMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_CalendarMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_CalendarMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_FractionMod.F90 -o ESMF_FractionMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_FractionMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_FractionMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_TimeIntervalMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_TimeIntervalMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_TimeMod.F90 -o ESMF_TimeMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_TimeMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_TimeMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_AlarmMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_AlarmMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_ClockMod.F90 -o ESMF_ClockMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_ClockMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_ClockMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_AlarmClockMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_AlarmClockMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Stubs.F90 -o ESMF_Stubs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Stubs.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_Stubs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ESMF_Mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_cal_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_cal_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_timer_mod.F90 -o shr_timer_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_timer_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_timer_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_string_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_string_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_flds_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_flds_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dead_mct_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp dead_mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 dead_mod.F90 -o dead_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dead_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp dead_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o f_wrappers.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 f_wrappers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GPTLget_memusage.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GPTLget_memusage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GPTLprint_memusage.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GPTLprint_memusage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GPTLutil.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GPTLutil.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 Meat.F90 -o Meat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Meat.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp Meat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 perf_mod.F90 -o perf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 perf_utils.F90 -o perf_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o perf_utils.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp perf_utils.fppized.f90 perf_utils.fppized.f90:661:18: 661 | call MPI_BCAST(vec,lsize,MPI_INTEGER,0,comm,ierr) | 1 ...... 693 | call MPI_BCAST(vec,lsize,MPI_LOGICAL,0,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o perf_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp perf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_cdata_mod.F90 -o seq_cdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_comm_mct.F90 -o seq_comm_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_comm_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_comm_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_infodata_mod.F90 -o seq_infodata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_io_mod.F90 -o seq_io_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_io_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_io_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_orb_mod.F90 -o shr_orb_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_orb_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_orb_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_infodata_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_infodata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_cdata_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_cdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_flds_indices.F90 -o seq_flds_indices.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_flds_indices.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_flds_indices.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_timemgr_mod.F90 -o seq_timemgr_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_timemgr_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_timemgr_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_dmodel_mod.F90 -o shr_dmodel_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_map_mod.F90 -o shr_map_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_map_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_map_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_ncread_mod.F90 -o shr_ncread_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_ncread_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_ncread_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_stream_mod.F90 -o shr_stream_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_stream_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_stream_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_dmodel_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_dmodel_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_flux_mod.F90 -o shr_flux_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_flux_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_flux_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o shr_jlcp.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 shr_jlcp.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_mct_mod.F90 -o shr_mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_pcdf_mod.F90 -o shr_pcdf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_pcdf_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_pcdf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_mct_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_mem_mod.F90 -o shr_mem_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_mem_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_mem_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_msg_mod.F90 -o shr_msg_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_msg_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_msg_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_scam_mod.F90 -o shr_scam_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_strdata_mod.F90 -o shr_strdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_tInterp_mod.F90 -o shr_tInterp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_tInterp_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_tInterp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_strdata_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_strdata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_scam_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_scam_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o shr_vmath_fwrap.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 shr_vmath_fwrap.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_vmath_mod.F90 -o shr_vmath_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_vmath_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp shr_vmath_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o threadutil.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 threadutil.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 wrf_error_fatal.F90 -o wrf_error_fatal.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_error_fatal.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp wrf_error_fatal.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 wrf_message.F90 -o wrf_message.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_message.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp wrf_message.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 atm_comp_mct.F90 -o atm_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 datm_comp_mod.F90 -o datm_comp_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 datm_shr_mod.F90 -o datm_shr_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o datm_shr_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp datm_shr_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o datm_comp_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp datm_comp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o atm_comp_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp atm_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 dlnd_comp_mod.F90 -o dlnd_comp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dlnd_comp_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp dlnd_comp_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 lnd_comp_mct.F90 -o lnd_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lnd_comp_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp lnd_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 dice_comp_mod.F90 -o dice_comp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dice_comp_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp dice_comp_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ice_comp_mct.F90 -o ice_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ice_comp_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ice_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_BlocksMod.F90 -o POP_BlocksMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_DomainSizeMod.F90 -o POP_DomainSizeMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_KindsMod.F90 -o POP_KindsMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_KindsMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_KindsMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_DomainSizeMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_DomainSizeMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_ErrorMod.F90 -o POP_ErrorMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_CommMod.F90 -o POP_CommMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ocn_communicator.F90 -o ocn_communicator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocn_communicator.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ocn_communicator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_CommMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_CommMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_IOUnitsMod.F90 -o POP_IOUnitsMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_IOUnitsMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_IOUnitsMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io_types.F90 -o io_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 broadcast.F90 -o broadcast.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 communicate.F90 -o communicate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 kinds_mod.F90 -o kinds_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o kinds_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp kinds_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o communicate.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp communicate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o broadcast.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp broadcast.fppized.f90 broadcast.fppized.f90:940:18: 940 | call MPI_BCAST(array, nelements, MPI_REAL, root_pe, MPI_COMM_OCN, ierr) | 1 ...... 1058 | call MPI_BCAST(array_int, nelements, MPI_INTEGER, root_pe, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). broadcast.fppized.f90:261:18: 261 | call MPI_BCAST(itmp, 1, MPI_INTEGER, root_pe, MPI_COMM_OCN, ierr) | 1 ...... 1058 | call MPI_BCAST(array_int, nelements, MPI_INTEGER, root_pe, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) broadcast.fppized.f90:204:18: 204 | call MPI_BCAST(scalar, 1, MPI_INTEGER, root_pe, MPI_COMM_OCN,ierr) | 1 ...... 1058 | call MPI_BCAST(array_int, nelements, MPI_INTEGER, root_pe, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 constants.F90 -o constants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o constants.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp constants.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 exit_mod.F90 -o exit_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o exit_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp exit_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_types.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp io_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_ErrorMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_ErrorMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_BlocksMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_BlocksMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_BroadcastMod.F90 -o POP_BroadcastMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_BroadcastMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_BroadcastMod.fppized.f90 POP_BroadcastMod.fppized.f90:2169:18: 2169 | call MPI_BCAST(array, nelements, POP_mpiR4, srcTask, & | 1 ...... 2328 | call MPI_BCAST(tmpArray, nelements, MPI_INTEGER, srcTask, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). POP_BroadcastMod.fppized.f90:337:18: 337 | call MPI_BCAST(itmp, 1, MPI_INTEGER, srcTask, & | 1 ...... 2328 | call MPI_BCAST(tmpArray, nelements, MPI_INTEGER, srcTask, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) POP_BroadcastMod.fppized.f90:261:18: 261 | call MPI_BCAST(scalar, 1, MPI_INTEGER, srcTask, & | 1 ...... 2328 | call MPI_BCAST(tmpArray, nelements, MPI_INTEGER, srcTask, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_ConfigMod.F90 -o POP_ConfigMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_ConfigMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_ConfigMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_ConstantsMod.F90 -o POP_ConstantsMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_ConstantsMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_ConstantsMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_DistributionMod.F90 -o POP_DistributionMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 spacecurve_mod.F90 -o spacecurve_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o spacecurve_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp spacecurve_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_DistributionMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_DistributionMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_FieldMod.F90 -o POP_FieldMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_GridDimMod.F90 -o POP_GridDimMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_GridDimMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_GridDimMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_GridHorzMod.F90 -o POP_GridHorzMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_GridHorzMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_GridHorzMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_GridVertMod.F90 -o POP_GridVertMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_GridVertMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_GridVertMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_FieldMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_FieldMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_FinalMod.F90 -o POP_FinalMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 timers.F90 -o timers.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 domain.F90 -o domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_HaloMod.F90 -o POP_HaloMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_ReductionsMod.F90 -o POP_ReductionsMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_ReductionsMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_ReductionsMod.fppized.f90 POP_ReductionsMod.fppized.f90:4642:25: 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 ...... 4642 | call MPI_ALLREDUCE(localMinAddr, globalMinAddr, 2, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) POP_ReductionsMod.fppized.f90:4472:25: 4472 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 1 ...... 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). POP_ReductionsMod.fppized.f90:4479:25: 4479 | call MPI_ALLREDUCE(localMinAddr, globalMinAddr, 2, & | 1 ...... 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) POP_ReductionsMod.fppized.f90:4316:25: 4316 | call MPI_ALLREDUCE(localMinAddr, globalMinAddr, 2, & | 1 ...... 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) POP_ReductionsMod.fppized.f90:4153:25: 4153 | call MPI_ALLREDUCE(localMaxAddr, globalMaxAddr, 2, & | 1 ...... 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) POP_ReductionsMod.fppized.f90:3990:25: 3990 | call MPI_ALLREDUCE(localMaxAddr, globalMaxAddr, 2, & | 1 ...... 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) POP_ReductionsMod.fppized.f90:3827:25: 3827 | call MPI_ALLREDUCE(localMaxAddr, globalMaxAddr, 2, & | 1 ...... 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_HaloMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_HaloMod.fppized.f90 POP_HaloMod.fppized.f90:4496:21: 4496 | call MPI_IRECV(bufRecv(1:msgSize,nmsg), msgSize, POP_mpiR4, & | 1 ...... 4927 | call MPI_IRECV(bufRecv(1:msgSize,nmsg), msgSize, MPI_INTEGER, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). POP_HaloMod.fppized.f90:4529:21: 4529 | call MPI_ISEND(bufSend(1:msgSize,nmsg), msgSize, POP_mpiR4, & | 1 ...... 4960 | call MPI_ISEND(bufSend(1:msgSize,nmsg), msgSize, MPI_INTEGER, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 blocks.F90 -o blocks.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 domain_size.F90 -o domain_size.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o domain_size.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp domain_size.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o blocks.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp blocks.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 distribution.F90 -o distribution.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o distribution.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp distribution.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o domain.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 global_reductions.F90 -o global_reductions.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 registry.F90 -o registry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io_tools.F90 -o io_tools.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_tools.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp io_tools.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o registry.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp registry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o global_reductions.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp global_reductions.fppized.f90 global_reductions.fppized.f90:3115:28: 3115 | call MPI_ALLGATHER(local_val , 3, mpi_real, & | 1 ...... 3219 | call MPI_ALLGATHER(local_val , 3, mpi_integer, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). global_reductions.fppized.f90:2884:22: 2884 | call MPI_ALLREDUCE(local_scalar, global_minval_scalar_real, 1, & | 1 ...... 2913 | call MPI_ALLREDUCE(local_scalar, global_minval_scalar_int, 1, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). global_reductions.fppized.f90:1771:23: 1771 | call MPI_ALLREDUCE(local_block_sum, global_block_sum, nblocks_tot, & | 1 ...... 2913 | call MPI_ALLREDUCE(local_scalar, global_minval_scalar_int, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) global_reductions.fppized.f90:994:23: 994 | call MPI_ALLREDUCE(local_block_sum, global_block_sum, nblocks_tot, & | 1 ...... 2913 | call MPI_ALLREDUCE(local_scalar, global_minval_scalar_int, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io.F90 -o io.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io_binary.F90 -o io_binary.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 gather_scatter.F90 -o gather_scatter.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gather_scatter.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp gather_scatter.fppized.f90 gather_scatter.fppized.f90:2264:22: 2264 | call MPI_IRECV(DST_ARRAY(1,1,dst_blck), nx_block*ny_block, & | 1 ...... 2418 | call MPI_IRECV(DST_ARRAY(1,1,dst_blck), nx_block*ny_block, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). gather_scatter.fppized.f90:2286:22: 2286 | call MPI_ISEND(SRC_ARRAY(1,1,src_blck), nx_block*ny_block, & | 1 ...... 2440 | call MPI_ISEND(SRC_ARRAY(1,1,src_blck), nx_block*ny_block, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). gather_scatter.fppized.f90:1579:23: 1579 | call MPI_SEND(msg_buffer, nx_block*ny_block, & | 1 ...... 1895 | call MPI_SEND(msg_buffer, nx_block*ny_block, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). gather_scatter.fppized.f90:526:23: 526 | call MPI_RECV(msg_buffer, size(msg_buffer), & | 1 ...... 691 | call MPI_RECV(msg_buffer, size(msg_buffer), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_binary.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp io_binary.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io_netcdf.F90 -o io_netcdf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io_pio.F90 -o io_pio.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_pio.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp io_pio.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_netcdf.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp io_netcdf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp io.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o timers.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp timers.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_FinalMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_FinalMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_InitMod.F90 -o POP_InitMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 initial.F90 -o initial.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_SolversMod.F90 -o POP_SolversMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_RedistributeMod.F90 -o POP_RedistributeMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_RedistributeMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_RedistributeMod.fppized.f90 POP_RedistributeMod.fppized.f90:1947:24: 1947 | call MPI_IRECV(dstArray(1,1,dstIndex), & | 1 ...... 2128 | call MPI_IRECV(dstArray(1,1,dstIndex), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). POP_RedistributeMod.fppized.f90:1960:24: 1960 | call MPI_ISEND(srcArray(1,1,srcIndex), & | 1 ...... 2141 | call MPI_ISEND(srcArray(1,1,srcIndex), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). POP_RedistributeMod.fppized.f90:1322:26: 1322 | call MPI_SEND(msgBuffer, POP_nxBlock*POP_nyBlock, & | 1 ...... 1550 | call MPI_SEND(msgBuffer, POP_nxBlock*POP_nyBlock, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). POP_RedistributeMod.fppized.f90:575:23: 575 | call MPI_RECV(msgBuffer, size(msgBuffer), & | 1 ...... 866 | call MPI_RECV(msgBuffer, size(msgBuffer), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 grid.F90 -o grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o grid.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_SolversMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_SolversMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 advection.F90 -o advection.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 diagnostics.F90 -o diagnostics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing.F90 -o forcing.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_ap.F90 -o forcing_ap.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_tools.F90 -o forcing_tools.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 time_management.F90 -o time_management.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o time_management.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp time_management.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_tools.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp forcing_tools.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_ap.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp forcing_ap.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_coupled.F90 -o forcing_coupled.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_fields.F90 -o forcing_fields.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_fields.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp forcing_fields.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_sfwf.F90 -o forcing_sfwf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_shf.F90 -o forcing_shf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 prognostic.F90 -o prognostic.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prognostic.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp prognostic.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_shf.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp forcing_shf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ice.F90 -o ice.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 passive_tracers.F90 -o passive_tracers.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 cfc_mod.F90 -o cfc_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 passive_tracer_tools.F90 -o passive_tracer_tools.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o passive_tracer_tools.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp passive_tracer_tools.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 tavg.F90 -o tavg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 diag_bsf.F90 -o diag_bsf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diag_bsf.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp diag_bsf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 diags_on_lat_aux_grid.F90 -o diags_on_lat_aux_grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diags_on_lat_aux_grid.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp diags_on_lat_aux_grid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io_ccsm.F90 -o io_ccsm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_ccsm.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp io_ccsm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 operators.F90 -o operators.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o operators.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp operators.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tavg.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp tavg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfc_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp cfc_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ecosys_mod.F90 -o ecosys_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_MCT_vars_mod.F90 -o POP_MCT_vars_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_MCT_vars_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_MCT_vars_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 co2calc.F90 -o co2calc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 state_mod.F90 -o state_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp state_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o co2calc.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp co2calc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ecosys_parms.F90 -o ecosys_parms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ecosys_parms.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ecosys_parms.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 named_field_mod.F90 -o named_field_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o named_field_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp named_field_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ecosys_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ecosys_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 iage_mod.F90 -o iage_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o iage_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp iage_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o passive_tracers.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp passive_tracers.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ice.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ice.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_sfwf.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp forcing_sfwf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_ws.F90 -o forcing_ws.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_ws.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp forcing_ws.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ms_balance.F90 -o ms_balance.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ms_balance.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ms_balance.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_coupled.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp forcing_coupled.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_pt_interior.F90 -o forcing_pt_interior.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_pt_interior.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp forcing_pt_interior.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_s_interior.F90 -o forcing_s_interior.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_s_interior.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp forcing_s_interior.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 movie.F90 -o movie.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o movie.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp movie.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 sw_absorption.F90 -o sw_absorption.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sw_absorption.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp sw_absorption.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp forcing.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 vmix_kpp.F90 -o vmix_kpp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 tidal_mixing.F90 -o tidal_mixing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tidal_mixing.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp tidal_mixing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vmix_kpp.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp vmix_kpp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diagnostics.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp diagnostics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 overflows.F90 -o overflows.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o overflows.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp overflows.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o advection.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp advection.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 baroclinic.F90 -o baroclinic.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 horizontal_mix.F90 -o horizontal_mix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 hmix_aniso.F90 -o hmix_aniso.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hmix_aniso.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp hmix_aniso.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 hmix_del2.F90 -o hmix_del2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 topostress.F90 -o topostress.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o topostress.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp topostress.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hmix_del2.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp hmix_del2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 hmix_del4.F90 -o hmix_del4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hmix_del4.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp hmix_del4.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 hmix_gm.F90 -o hmix_gm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 hmix_gm_submeso_share.F90 -o hmix_gm_submeso_share.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hmix_gm_submeso_share.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp hmix_gm_submeso_share.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 vertical_mix.F90 -o vertical_mix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 vmix_const.F90 -o vmix_const.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vmix_const.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp vmix_const.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 vmix_rich.F90 -o vmix_rich.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vmix_rich.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp vmix_rich.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vertical_mix.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp vertical_mix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hmix_gm.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp hmix_gm.fppized.f90 hmix_gm.fppized.f90:3742:73: 3676 | do k=1,km-1 | 2 ...... 3742 | KAPPA_THIC(:,:,ktp,k+2,bid) * SLX(:,:,kk,ktp,k+2,bid) * dz(k+2)) | 1 Warning: Array reference at (1) out of bounds (61 > 60) in loop beginning at (2) hmix_gm.fppized.f90:3746:73: 3676 | do k=1,km-1 | 2 ...... 3746 | KAPPA_THIC(:,:,ktp,k+2,bid) * SLY(:,:,kk,ktp,k+2,bid) * dz(k+2)) | 1 Warning: Array reference at (1) out of bounds (61 > 60) in loop beginning at (2) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mix_submeso.F90 -o mix_submeso.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mix_submeso.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp mix_submeso.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o horizontal_mix.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp horizontal_mix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pressure_grad.F90 -o pressure_grad.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pressure_grad.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp pressure_grad.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o baroclinic.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp baroclinic.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 barotropic.F90 -o barotropic.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o barotropic.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp barotropic.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 budget_diagnostics.F90 -o budget_diagnostics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 qflux_mod.F90 -o qflux_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o qflux_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp qflux_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o budget_diagnostics.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp budget_diagnostics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 output.F90 -o output.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 history.F90 -o history.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o history.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp history.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 restart.F90 -o restart.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o restart.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp restart.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o output.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp output.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 step_mod.F90 -o step_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 surface_hgt.F90 -o surface_hgt.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o surface_hgt.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp surface_hgt.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o step_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp step_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o initial.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp initial.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_InitMod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp POP_InitMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 cfc11_mod.F90 -o cfc11_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfc11_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp cfc11_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 check_mod.F90 -o check_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o check_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp check_mod.fppized.f90 check_mod.fppized.f90:158:23: 158 | call MPI_Allreduce(lsum,gsum,1,MPI_REAL,MPI_SUM,MPI_COMM_WORLD,ierr) | 1 ...... 185 | call MPI_Allreduce(lsum,gsum,1,MPI_INTEGER,MPI_SUM,MPI_COMM_WORLD,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 current_meters.F90 -o current_meters.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o current_meters.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp current_meters.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 drifters.F90 -o drifters.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o drifters.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp drifters.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 hydro_sections.F90 -o hydro_sections.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hydro_sections.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp hydro_sections.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 msg_mod.F90 -o msg_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o msg_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp msg_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ocn_comp_mct.F90 -o ocn_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocn_comp_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ocn_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 tracer_types.F90 -o tracer_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tracer_types.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp tracer_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 glc_comp_mct.F90 -o glc_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o glc_comp_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp glc_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ccsm_driver.F90 -o ccsm_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_atmatm_mct.F90 -o map_atmatm_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_rearr_mod.F90 -o seq_rearr_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_diag_mct.F90 -o seq_diag_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_diag_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_diag_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_rearr_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_rearr_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmatm_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp map_atmatm_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_atmice_mct.F90 -o map_atmice_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmice_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp map_atmice_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_atmlnd_mct.F90 -o map_atmlnd_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmlnd_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp map_atmlnd_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_atmocn_mct.F90 -o map_atmocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmocn_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp map_atmocn_mct.fppized.f90 map_atmocn_mct.fppized.f90:962:24: 842 | call MPI_ALLREDUCE(rarray,ilat1,num,MPI_REAL8,MPI_SUM,mpicom,rcode) | 2 ...... 962 | call MPI_ALLREDUCE(cntfound,cntf_tot,1,MPI_INTEGER,MPI_SUM,mpicom,rcode) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_glcglc_mct.F90 -o map_glcglc_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_glcglc_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp map_glcglc_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_iceice_mct.F90 -o map_iceice_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_iceice_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp map_iceice_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_iceocn_mct.F90 -o map_iceocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_iceocn_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp map_iceocn_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_lndlnd_mct.F90 -o map_lndlnd_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_lndlnd_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp map_lndlnd_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_ocnocn_mct.F90 -o map_ocnocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_ocnocn_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp map_ocnocn_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_rofocn_mct.F90 -o map_rofocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_rofocn_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp map_rofocn_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_rofrof_mct.F90 -o map_rofrof_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_rofrof_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp map_rofrof_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_snoglc_mct.F90 -o map_snoglc_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_snoglc_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp map_snoglc_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_snosno_mct.F90 -o map_snosno_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_snosno_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp map_snosno_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mrg_x2a_mct.F90 -o mrg_x2a_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2a_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp mrg_x2a_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mrg_x2g_mct.F90 -o mrg_x2g_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2g_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp mrg_x2g_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mrg_x2i_mct.F90 -o mrg_x2i_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2i_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp mrg_x2i_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mrg_x2l_mct.F90 -o mrg_x2l_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2l_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp mrg_x2l_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mrg_x2o_mct.F90 -o mrg_x2o_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2o_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp mrg_x2o_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mrg_x2s_mct.F90 -o mrg_x2s_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2s_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp mrg_x2s_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_avdata_mod.F90 -o seq_avdata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_avdata_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_avdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_domain_mct.F90 -o seq_domain_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_domain_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_domain_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_flux_mct.F90 -o seq_flux_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_flux_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_flux_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_frac_mct.F90 -o seq_frac_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_frac_mct.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_frac_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_hist_mod.F90 -o seq_hist_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_hist_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_hist_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_rest_mod.F90 -o seq_rest_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_rest_mod.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp seq_rest_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ccsm_driver.fppized.o -O2 -mtune=generic -fconvert=big-endian -fopenmp ccsm_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP netcdf/attr.o netcdf/dim.o netcdf/error.o netcdf/fort-attio.o netcdf/fort-control.o netcdf/fort-dim.o netcdf/fort-genatt.o netcdf/fort-geninq.o netcdf/fort-genvar.o netcdf/fort-lib.o netcdf/fort-misc.o netcdf/fort-v2compat.o netcdf/fort-var1io.o netcdf/fort-varaio.o netcdf/fort-vario.o netcdf/fort-varmio.o netcdf/fort-varsio.o netcdf/libvers.o netcdf/nc.o netcdf/ncx.o netcdf/posixio.o netcdf/putget.o netcdf/string.o netcdf/v1hpg.o netcdf/v2i.o netcdf/var.o netcdf/netcdf.o netcdf/typeSizes.o fort.fppized.o mpi.o send.o recv.o collective.o req.o list.o handles.o comm.o group.o time.o pack.o m_IndexBin_char.fppized.o m_IndexBin_integer.fppized.o m_IndexBin_logical.fppized.o m_List.fppized.o m_MergeSorts.fppized.o m_Filename.fppized.o m_FcComms.fppized.o m_Permuter.fppized.o m_SortingTools.fppized.o m_String.fppized.o m_StrTemplate.fpp ized.o m_chars.fppized.o m_die.fppized.o m_dropdead.fppized.o m_FileResolv.fppized.o m_flow.fppized.o m_inpak90.fppized.o m_ioutil.fppized.o m_mall.fppized.o m_mpif.fppized.o m_mpif90.fppized.o m_mpout.fppized.o m_rankMerge.fppized.o m_realkinds.fppized.o m_stdio.fppized.o m_TraceBack.fppized.o m_zeit.fppized.o get_zeits.o m_MCTWorld.fppized.o m_AttrVect.fppized.o m_GlobalMap.fppized.o m_GlobalSegMap.fppized.o m_GlobalSegMapComms.fppized.o m_Accumulator.fppized.o m_SparseMatrix.fppized.o m_Navigator.fppized.o m_AttrVectComms.fppized.o m_AttrVectReduce.fppized.o m_AccumulatorComms.fppized.o m_GeneralGrid.fppized.o m_GeneralGridComms.fppized.o m_SpatialIntegral.fppized.o m_SpatialIntegralV.fppized.o m_MatAttrVectMul.fppized.o m_Merge.fppized.o m_GlobalToLocal.fppized.o m_ExchangeMaps.fppized.o m_ConvertMaps.fppized.o m_SparseMatrixDecomp.fppized.o m_SparseMatrixToMaps.fppized.o m_SparseMatrixComms.fppized.o m_SparseMatrixPlus.fppized.o m_Router.fppized.o m_Rearranger.fppized.o m_Transfer.fppized.o alloc_mod .fppized.o box_rearrange.fppized.o iompi_mod.fppized.o ionf_mod.fppized.o mct_rearrange.fppized.o nf_mod.fppized.o piodarray.fppized.o pio.fppized.o pio_kinds.fppized.o piolib_mod.fppized.o pio_mpi_utils.fppized.o pionfatt_mod.fppized.o pionfget_mod.fppized.o pionfput_mod.fppized.o pionfread_mod.fppized.o pio_nf_utils.fppized.o pionfwrite_mod.fppized.o pio_quicksort.fppized.o pio_spmd_utils.fppized.o pio_support.fppized.o pio_types.fppized.o pio_utils.fppized.o pnetcdfversion.o rearrange.fppized.o topology.o dead_data_mod.fppized.o dead_mct_mod.fppized.o dead_mod.fppized.o ESMF_AlarmClockMod.fppized.o ESMF_AlarmMod.fppized.o ESMF_BaseMod.fppized.o ESMF_BaseTimeMod.fppized.o ESMF_CalendarMod.fppized.o ESMF_ClockMod.fppized.o ESMF_FractionMod.fppized.o ESMF_Mod.fppized.o ESMF_Stubs.fppized.o ESMF_TimeIntervalMod.fppized.o ESMF_TimeMod.fppized.o f_wrappers.o GPTLget_memusage.o GPTLprint_memusage.o GPTLutil.o mct_mod.fppized.o Meat.fppized.o perf_mod.fppized.o perf_utils.fppized.o seq_cdata_mod.fppized.o seq_ comm_mct.fppized.o seq_drydep_mod.fppized.o seq_flds_indices.fppized.o seq_flds_mod.fppized.o seq_infodata_mod.fppized.o seq_io_mod.fppized.o seq_timemgr_mod.fppized.o shr_cal_mod.fppized.o shr_const_mod.fppized.o shr_dmodel_mod.fppized.o shr_file_mod.fppized.o shr_flux_mod.fppized.o shr_jlcp.o shr_kind_mod.fppized.o shr_log_mod.fppized.o shr_map_mod.fppized.o shr_mct_mod.fppized.o shr_mem_mod.fppized.o shr_mpi_mod.fppized.o shr_msg_mod.fppized.o shr_ncread_mod.fppized.o shr_orb_mod.fppized.o shr_pcdf_mod.fppized.o shr_scam_mod.fppized.o shr_strdata_mod.fppized.o shr_stream_mod.fppized.o shr_string_mod.fppized.o shr_sys_mod.fppized.o shr_timer_mod.fppized.o shr_tInterp_mod.fppized.o shr_vmath_fwrap.o shr_vmath_mod.fppized.o threadutil.o wrf_error_fatal.fppized.o wrf_message.fppized.o atm_comp_mct.fppized.o datm_comp_mod.fppized.o datm_shr_mod.fppized.o dlnd_comp_mod.fppized.o lnd_comp_mct.fppized.o dice_comp_mod.fppized.o ice_comp_mct.fppized.o POP_BlocksMod.fppized.o POP_BroadcastMod.fppized.o POP_CommMo d.fppized.o POP_ConfigMod.fppized.o POP_ConstantsMod.fppized.o POP_DistributionMod.fppized.o POP_DomainSizeMod.fppized.o POP_ErrorMod.fppized.o POP_FieldMod.fppized.o POP_FinalMod.fppized.o POP_GridDimMod.fppized.o POP_GridHorzMod.fppized.o POP_GridVertMod.fppized.o POP_HaloMod.fppized.o POP_IOUnitsMod.fppized.o POP_InitMod.fppized.o POP_KindsMod.fppized.o POP_MCT_vars_mod.fppized.o POP_RedistributeMod.fppized.o POP_ReductionsMod.fppized.o POP_SolversMod.fppized.o advection.fppized.o baroclinic.fppized.o barotropic.fppized.o blocks.fppized.o broadcast.fppized.o budget_diagnostics.fppized.o cfc11_mod.fppized.o cfc_mod.fppized.o check_mod.fppized.o co2calc.fppized.o communicate.fppized.o constants.fppized.o current_meters.fppized.o diag_bsf.fppized.o diagnostics.fppized.o diags_on_lat_aux_grid.fppized.o distribution.fppized.o domain.fppized.o domain_size.fppized.o drifters.fppized.o ecosys_mod.fppized.o ecosys_parms.fppized.o exit_mod.fppized.o forcing.fppized.o forcing_ap.fppized.o forcing_coupled.fppized. o forcing_fields.fppized.o forcing_pt_interior.fppized.o forcing_s_interior.fppized.o forcing_sfwf.fppized.o forcing_shf.fppized.o forcing_tools.fppized.o forcing_ws.fppized.o gather_scatter.fppized.o global_reductions.fppized.o grid.fppized.o history.fppized.o hmix_aniso.fppized.o hmix_del2.fppized.o hmix_del4.fppized.o hmix_gm.fppized.o hmix_gm_submeso_share.fppized.o horizontal_mix.fppized.o hydro_sections.fppized.o iage_mod.fppized.o ice.fppized.o initial.fppized.o io.fppized.o io_binary.fppized.o io_ccsm.fppized.o io_netcdf.fppized.o io_pio.fppized.o io_tools.fppized.o io_types.fppized.o kinds_mod.fppized.o mix_submeso.fppized.o movie.fppized.o ms_balance.fppized.o msg_mod.fppized.o named_field_mod.fppized.o ocn_communicator.fppized.o ocn_comp_mct.fppized.o operators.fppized.o output.fppized.o overflows.fppized.o passive_tracer_tools.fppized.o passive_tracers.fppized.o pressure_grad.fppized.o prognostic.fppized.o qflux_mod.fppized.o registry.fppized.o restart.fppized.o spacecurve_mod.fppized.o state_ mod.fppized.o step_mod.fppized.o surface_hgt.fppized.o sw_absorption.fppized.o tavg.fppized.o tidal_mixing.fppized.o time_management.fppized.o timers.fppized.o topostress.fppized.o tracer_types.fppized.o vertical_mix.fppized.o vmix_const.fppized.o vmix_kpp.fppized.o vmix_rich.fppized.o glc_comp_mct.fppized.o ccsm_driver.fppized.o map_atmatm_mct.fppized.o map_atmice_mct.fppized.o map_atmlnd_mct.fppized.o map_atmocn_mct.fppized.o map_glcglc_mct.fppized.o map_iceice_mct.fppized.o map_iceocn_mct.fppized.o map_lndlnd_mct.fppized.o map_ocnocn_mct.fppized.o map_rofocn_mct.fppized.o map_rofrof_mct.fppized.o map_snoglc_mct.fppized.o map_snosno_mct.fppized.o mrg_x2a_mct.fppized.o mrg_x2g_mct.fppized.o mrg_x2i_mct.fppized.o mrg_x2l_mct.fppized.o mrg_x2o_mct.fppized.o mrg_x2s_mct.fppized.o seq_avdata_mod.fppized.o seq_diag_mct.fppized.o seq_domain_mct.fppized.o seq_flux_mct.fppized.o seq_frac_mct.fppized.o seq_hist_mod.fppized.o seq_rearr_mod.fppized.o seq_rest_mod.fppized.o -o speed_pop2 Stop make command: 2021-07-08 15:04:25 (1625771065.1429) Elapsed time for make command: 00:01:37 (97.2293601036072) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:04:25 (1625771065.14625) FPP: "specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -O2 -mtune=generic -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:04:25 (1625771065.30952) Elapsed time for options command: 00:00:00 (0.163261890411377) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:04:25 (1625771065.31336) FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:04:25 (1625771065.48557) Elapsed time for compiler-version command: 00:00:00 (0.172207832336426) Compile for '628.pop2_s' ended at: 2021-07-08 15:04:25 (1625771065) Elapsed compile for '628.pop2_s': 00:01:38 (98) FPP: "specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -O2 -mtune=generic -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagevalidate_638_base.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagick_s_base.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagevalidate_638_base.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagick_s_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 638.imagick_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 15:04:27] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/build/build_base_x86_64-m64.0000/Makefile.imagevalidate_638.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/build/build_base_x86_64-m64.0000/Makefile.imagick_s.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/build/build_base_x86_64-m64.0000/Makefile.imagevalidate_638.spec': TUNE=base LABEL=x86_64-m64 NUMBER=638 NAME=imagick_s SOURCES= image_validator/ImageValidator.c EXEBASE=imagevalidate_638 NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -I. CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = imagick_s basepeak = 0 benchdir = benchspec benchmark = 638.imagick_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = imagick_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = imagick_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 638 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = 0.01 reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/538.imagick_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = train_validate.out Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/build/build_base_x86_64-m64.0000/Makefile.imagick_s.spec': TUNE=base LABEL=x86_64-m64 NUMBER=638 NAME=imagick_s SOURCES= coders/tga.c filters/analyze.c magick/accelerate.c \ magick/animate.c magick/annotate.c magick/artifact.c magick/attribute.c \ magick/blob.c magick/cache-view.c magick/cache.c magick/channel.c \ magick/cipher.c magick/client.c magick/coder.c magick/color.c \ magick/colormap.c magick/colorspace.c magick/compare.c \ magick/composite.c magick/compress.c magick/configure.c \ magick/constitute.c magick/decorate.c magick/delegate.c magick/display.c \ magick/distort.c magick/distribute-cache.c magick/draw.c magick/effect.c \ magick/enhance.c magick/exception.c magick/feature.c magick/fourier.c \ magick/fx.c magick/gem.c magick/geometry.c magick/hashmap.c \ magick/histogram.c magick/identify.c magick/image.c magick/layer.c \ magick/list.c magick/locale.c magick/log.c magick/magic.c \ magick/magick.c magick/matrix.c magick/memory.c magick/mime.c \ magick/module.c magick/monitor.c magick/montage.c magick/morphology.c \ magick/option.c magick/paint.c magick/pixel.c magick/policy.c \ magick/prepress.c magick/profile.c magick/property.c magick/quantize.c \ magick/quantum-export.c magick/quantum-import.c magick/quantum.c \ magick/random.c magick/registry.c magick/resample.c magick/resize.c \ magick/resource.c magick/segment.c magick/semaphore.c magick/shear.c \ magick/signature.c magick/splay-tree.c magick/static.c \ magick/statistic.c magick/stream.c magick/string.c magick/threshold.c \ magick/timer.c magick/token.c magick/transform.c magick/type.c \ magick/utility.c magick/version.c magick/xml-tree.c utilities/convert.c \ wand/convert.c wand/drawing-wand.c wand/magick-image.c \ wand/magick-wand.c wand/mogrify.c wand/pixel-wand.c \ wand/magick-property.c wand/pixel-iterator.c wand/wand.c \ magick/deprecate.c EXEBASE=imagick_s NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -I. CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = imagick_s basepeak = 0 benchdir = benchspec benchmark = 638.imagick_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = imagick_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = imagick_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 638 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = 0.01 reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/538.imagick_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = train_validate.out Compile for '638.imagick_s' started at: 2021-07-08 15:04:27 (1625771067) Issuing make.clean.imagevalidate_638 command 'specmake --output-sync --jobs=1 clean TARGET=imagevalidate_638' Start make.clean.imagevalidate_638 command: 2021-07-08 15:04:27 (1625771067.40844) Stop make.clean.imagevalidate_638 command: 2021-07-08 15:04:27 (1625771067.57021) Elapsed time for make.clean.imagevalidate_638 command: 00:00:00 (0.161774158477783) Issuing make.clean.imagick_s command 'specmake --output-sync --jobs=1 clean TARGET=imagick_s' Start make.clean.imagick_s command: 2021-07-08 15:04:27 (1625771067.57325) Stop make.clean.imagick_s command: 2021-07-08 15:04:27 (1625771067.74254) Elapsed time for make.clean.imagick_s command: 00:00:00 (0.169288158416748) Issuing make.imagevalidate_638 command 'specmake --output-sync --jobs=1 build TARGET=imagevalidate_638' Start make.imagevalidate_638 command: 2021-07-08 15:04:27 (1625771067.7461) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o image_validator/ImageValidator.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 image_validator/ImageValidator.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP image_validator/ImageValidator.o -lm -o imagevalidate_638 Stop make.imagevalidate_638 command: 2021-07-08 15:04:28 (1625771068.1833) Elapsed time for make.imagevalidate_638 command: 00:00:00 (0.437194108963013) Issuing options.imagevalidate_638 command 'specmake --output-sync --jobs=1 options TARGET=imagevalidate_638' Start options.imagevalidate_638 command: 2021-07-08 15:04:28 (1625771068.18635) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options.imagevalidate_638 command: 2021-07-08 15:04:28 (1625771068.34662) Elapsed time for options.imagevalidate_638 command: 00:00:00 (0.160268068313599) Issuing compiler-version.imagevalidate_638 command 'specmake --output-sync --jobs=1 compiler-version TARGET=imagevalidate_638' Start compiler-version.imagevalidate_638 command: 2021-07-08 15:04:28 (1625771068.35012) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.imagevalidate_638 command: 2021-07-08 15:04:28 (1625771068.53103) Elapsed time for compiler-version.imagevalidate_638 command: 00:00:00 (0.180912971496582) Issuing make.imagick_s command 'specmake --output-sync --jobs=1 build TARGET=imagick_s' Start make.imagick_s command: 2021-07-08 15:04:28 (1625771068.53462) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o coders/tga.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 coders/tga.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o filters/analyze.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 filters/analyze.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/accelerate.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/accelerate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/animate.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/animate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/annotate.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/annotate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/artifact.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/artifact.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/attribute.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/attribute.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/blob.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/blob.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/cache-view.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/cache-view.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/cache.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/cache.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/channel.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/channel.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/cipher.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/cipher.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/client.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/client.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/coder.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/coder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/color.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/color.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/colormap.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/colormap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/colorspace.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/colorspace.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/compare.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/compare.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/composite.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/composite.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/compress.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/compress.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/configure.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/configure.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/constitute.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/constitute.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/decorate.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/decorate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/delegate.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/delegate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/display.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/display.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/distort.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/distort.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/distribute-cache.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/distribute-cache.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/draw.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/draw.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/effect.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/effect.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/enhance.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/enhance.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/exception.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/exception.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/feature.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/feature.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/fourier.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/fourier.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/fx.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/fx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/gem.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/gem.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/geometry.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/geometry.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/hashmap.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/hashmap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/histogram.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/histogram.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/identify.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/identify.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/image.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/image.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/layer.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/layer.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/list.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/list.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/locale.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/locale.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/log.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/log.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/magic.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/magic.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/magick.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/magick.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/matrix.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/matrix.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/memory.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/memory.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/mime.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/mime.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/module.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/module.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/monitor.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/monitor.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/montage.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/montage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/morphology.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/morphology.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/option.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/option.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/paint.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/paint.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/pixel.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/pixel.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/policy.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/policy.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/prepress.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/prepress.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/profile.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/profile.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/property.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/property.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/quantize.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/quantize.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/quantum-export.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/quantum-export.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/quantum-import.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/quantum-import.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/quantum.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/quantum.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/random.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/random.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/registry.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/registry.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/resample.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/resample.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/resize.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/resize.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/resource.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/resource.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/segment.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/segment.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/semaphore.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/semaphore.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/shear.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/shear.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/signature.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/signature.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/splay-tree.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/splay-tree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/static.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/static.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/statistic.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/statistic.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/stream.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/stream.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/string.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/string.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/threshold.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/threshold.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/timer.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/timer.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/token.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/token.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/transform.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/transform.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/type.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/type.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/utility.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/utility.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/version.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/version.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/xml-tree.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/xml-tree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o utilities/convert.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 utilities/convert.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/convert.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/convert.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/drawing-wand.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/drawing-wand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/magick-image.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/magick-image.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/magick-wand.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/magick-wand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/mogrify.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/mogrify.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/pixel-wand.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/pixel-wand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/magick-property.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/magick-property.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/pixel-iterator.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/pixel-iterator.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/wand.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/wand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/deprecate.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/deprecate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP coders/tga.o filters/analyze.o magick/accelerate.o magick/animate.o magick/annotate.o magick/artifact.o magick/attribute.o magick/blob.o magick/cache-view.o magick/cache.o magick/channel.o magick/cipher.o magick/client.o magick/coder.o magick/color.o magick/colormap.o magick/colorspace.o magick/compare.o magick/composite.o magick/compress.o magick/configure.o magick/constitute.o magick/decorate.o magick/delegate.o magick/display.o magick/distort.o magick/distribute-cache.o magick/draw.o magick/effect.o magick/enhance.o magick/exception.o magick/feature.o magick/fourier.o magick/fx.o magick/gem.o magick/geometry.o magick/hashmap.o magick/histogram.o magick/identify.o magick/image.o magick/layer.o magick/list.o magick/locale.o magick/log.o magick/magic.o magick/magick.o magick/matrix.o magick/memory.o magick/mime.o magick/module.o magick/monitor.o magick/montage.o magick/mor phology.o magick/option.o magick/paint.o magick/pixel.o magick/policy.o magick/prepress.o magick/profile.o magick/property.o magick/quantize.o magick/quantum-export.o magick/quantum-import.o magick/quantum.o magick/random.o magick/registry.o magick/resample.o magick/resize.o magick/resource.o magick/segment.o magick/semaphore.o magick/shear.o magick/signature.o magick/splay-tree.o magick/static.o magick/statistic.o magick/stream.o magick/string.o magick/threshold.o magick/timer.o magick/token.o magick/transform.o magick/type.o magick/utility.o magick/version.o magick/xml-tree.o utilities/convert.o wand/convert.o wand/drawing-wand.o wand/magick-image.o wand/magick-wand.o wand/mogrify.o wand/pixel-wand.o wand/magick-property.o wand/pixel-iterator.o wand/wand.o magick/deprecate.o -lm -o imagick_s Stop make.imagick_s command: 2021-07-08 15:05:02 (1625771102.93606) Elapsed time for make.imagick_s command: 00:00:34 (34.4014451503754) Issuing options.imagick_s command 'specmake --output-sync --jobs=1 options TARGET=imagick_s' Start options.imagick_s command: 2021-07-08 15:05:02 (1625771102.93916) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options.imagick_s command: 2021-07-08 15:05:03 (1625771103.09967) Elapsed time for options.imagick_s command: 00:00:00 (0.160517930984497) Issuing compiler-version.imagick_s command 'specmake --output-sync --jobs=1 compiler-version TARGET=imagick_s' Start compiler-version.imagick_s command: 2021-07-08 15:05:03 (1625771103.10312) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.imagick_s command: 2021-07-08 15:05:03 (1625771103.27098) Elapsed time for compiler-version.imagick_s command: 00:00:00 (0.167856931686401) Compile for '638.imagick_s' ended at: 2021-07-08 15:05:03 (1625771103) Elapsed compile for '638.imagick_s': 00:00:36 (36) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/exe/nab_s_base.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/exe/nab_s_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 644.nab_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 15:05:04] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename binpos.c)): binpos.c memutil.h $(addsuffix $(OBJ), $(basename chirvol.c)): chirvol.c defreal.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename database.c)): database.c database.h $(addsuffix $(OBJ), $(basename errormsg.c)): errormsg.c errormsg.h $(addsuffix $(OBJ), $(basename memutil.c)): memutil.c defreal.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename molio.c)): molio.c defreal.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename molutil.c)): molutil.c defreal.h errormsg.h memutil.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename nabmd.c)): nabmd.c nabcode.h $(addsuffix $(OBJ), $(basename nblist.c)): nblist.c defreal.h $(addsuffix $(OBJ), $(basename prm.c)): prm.c defreal.h errormsg.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename rand2.c)): rand2.c defreal.h $(addsuffix $(OBJ), $(basename reslib.c)): reslib.c chirvol.h database.h defreal.h errormsg.h memutil.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename select_atoms.c)): select_atoms.c defreal.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename sff.c)): sff.c debug.h defreal.h eff.c gbsa.c intersect.c memutil.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename traceback.c)): traceback.c errormsg.h Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=644 NAME=nab_s SOURCES= nabmd.c sff.c nblist.c prm.c memutil.c molio.c molutil.c \ errormsg.c binpos.c rand2.c select_atoms.c reslib.c database.c \ traceback.c chirvol.c specrand/specrand.c regex-alpha/regcomp.c \ regex-alpha/regerror.c regex-alpha/regexec.c regex-alpha/regfree.c EXEBASE=nab_s NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = nab_s basepeak = 0 benchdir = benchspec benchmark = 644.nab_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = nab_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = nab_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 644 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = 0.01 reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = 2 skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/544.nab_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = aminos.out gcn4dna.out Compile for '644.nab_s' started at: 2021-07-08 15:05:04 (1625771104) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:05:04 (1625771104.08066) rm -rf *.o aminos.out gcn4dna.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf nab_s rm -rf nab_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:05:04 (1625771104.24245) Elapsed time for make.clean command: 00:00:00 (0.16178297996521) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:05:04 (1625771104.24552) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o nabmd.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 nabmd.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sff.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 sff.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o nblist.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 nblist.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o prm.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 prm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o memutil.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 memutil.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o molio.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 molio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o molutil.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 molutil.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o errormsg.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 errormsg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o binpos.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 binpos.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o rand2.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 rand2.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o select_atoms.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 select_atoms.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reslib.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 reslib.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o database.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 database.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o traceback.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 traceback.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o chirvol.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 chirvol.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o specrand/specrand.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 specrand/specrand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regex-alpha/regcomp.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 regex-alpha/regcomp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regex-alpha/regerror.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 regex-alpha/regerror.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regex-alpha/regexec.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 regex-alpha/regexec.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regex-alpha/regfree.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 regex-alpha/regfree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP nabmd.o sff.o nblist.o prm.o memutil.o molio.o molutil.o errormsg.o binpos.o rand2.o select_atoms.o reslib.o database.o traceback.o chirvol.o specrand/specrand.o regex-alpha/regcomp.o regex-alpha/regerror.o regex-alpha/regexec.o regex-alpha/regfree.o -lm -o nab_s Stop make command: 2021-07-08 15:05:07 (1625771107.56457) Elapsed time for make command: 00:00:03 (3.31905293464661) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:05:07 (1625771107.56761) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options command: 2021-07-08 15:05:07 (1625771107.74635) Elapsed time for options command: 00:00:00 (0.178736209869385) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:05:07 (1625771107.7498) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:05:07 (1625771107.93523) Elapsed time for compiler-version command: 00:00:00 (0.185429096221924) Compile for '644.nab_s' ended at: 2021-07-08 15:05:07 (1625771107) Elapsed compile for '644.nab_s': 00:00:03 (3) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/exe/fotonik3d_s_base.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/exe/fotonik3d_s_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 649.fotonik3d_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 15:05:08] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), MPI_dummy.fppized): MPI_dummy.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) ) $(addsuffix $(OBJ), PEC.fppized): PEC.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized) $(addsuffix $(OBJ), PlaneSource.fppized): PlaneSource.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90 readline.f90) globalvar.fppized) $(addsuffix $(OBJ), UPML.fppized): UPML.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized material.fppized) $(addsuffix $(OBJ), calcflops.fppized): calcflops.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) PlaneSource.fppized globalvar.fppized power.fppized) $(addsuffix $(OBJ), communicate.fppized): communicate.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized) $(addsuffix $(OBJ), globalvar.fppized): globalvar.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) ) $(addsuffix $(OBJ), huygens.fppized): huygens.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized) $(addsuffix $(OBJ), init.fppized): init.fppized.f90 $(addsuffix $(OBJ),$(basename ) PEC.fppized PlaneSource.fppized UPML.fppized communicate.fppized globalvar.fppized huygens.fppized material.fppized mur.fppized power.fppized update.fppized) $(addsuffix $(OBJ), leapfrog.fppized): leapfrog.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) PEC.fppized PlaneSource.fppized UPML.fppized communicate.fppized globalvar.fppized huygens.fppized material.fppized mur.fppized power.fppized update.fppized) $(addsuffix $(OBJ), material.fppized): material.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90 readline.f90) globalvar.fppized) $(addsuffix $(OBJ), mur.fppized): mur.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized material.fppized) $(addsuffix $(OBJ), power.fppized): power.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized) $(addsuffix $(OBJ), update.fppized): update.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized) $(addsuffix $(OBJ), writeout.fppized): writeout.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized) $(addsuffix $(OBJ), yeemain.fppized): yeemain.fppized.f90 $(addsuffix $(OBJ),$(basename ) PEC.fppized PlaneSource.fppized UPML.fppized communicate.fppized globalvar.fppized mur.fppized power.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=649 NAME=fotonik3d_s SOURCES= parameter.f90 globalvar.F90 readline.f90 power.F90 calcflops.F90 \ communicate.F90 huygens.F90 update.F90 material.F90 mur.F90 \ PlaneSource.F90 PEC.F90 init.F90 leapfrog.F90 timerRoutine.f90 UPML.F90 \ yeemain.F90 writeout.F90 MPI_dummy.F90 EXEBASE=fotonik3d_s NEED_MATH= BENCHLANG=F BENCH_FLAGS = -I. CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = 1e-27 action = build allow_label_override = 0 backup_config = 1 baseexe = fotonik3d_s basepeak = 0 benchdir = benchspec benchmark = 649.fotonik3d_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = fotonik3d_s_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = 1 force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = fotonik3d_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 649 obiwan = 1 oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = 1e-10 reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/549.fotonik3d_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = pscyee.out Compile for '649.fotonik3d_s' started at: 2021-07-08 15:05:08 (1625771108) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:05:08 (1625771108.3723) rm -rf *.o pscyee.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf fotonik3d_s rm -rf fotonik3d_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:05:08 (1625771108.53384) Elapsed time for make.clean command: 00:00:00 (0.161545038223267) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:05:08 (1625771108.53693) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o parameter.o -I. -O2 -mtune=generic -fopenmp parameter.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 globalvar.F90 -o globalvar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o globalvar.fppized.o -I. -O2 -mtune=generic -fopenmp globalvar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o readline.o -I. -O2 -mtune=generic -fopenmp readline.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 power.F90 -o power.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o power.fppized.o -I. -O2 -mtune=generic -fopenmp power.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 calcflops.F90 -o calcflops.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 PlaneSource.F90 -o PlaneSource.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o PlaneSource.fppized.o -I. -O2 -mtune=generic -fopenmp PlaneSource.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o calcflops.fppized.o -I. -O2 -mtune=generic -fopenmp calcflops.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 communicate.F90 -o communicate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o communicate.fppized.o -I. -O2 -mtune=generic -fopenmp communicate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 huygens.F90 -o huygens.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o huygens.fppized.o -I. -O2 -mtune=generic -fopenmp huygens.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 update.F90 -o update.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o update.fppized.o -I. -O2 -mtune=generic -fopenmp update.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 material.F90 -o material.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o material.fppized.o -I. -O2 -mtune=generic -fopenmp material.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 mur.F90 -o mur.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mur.fppized.o -I. -O2 -mtune=generic -fopenmp mur.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 PEC.F90 -o PEC.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o PEC.fppized.o -I. -O2 -mtune=generic -fopenmp PEC.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 init.F90 -o init.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 UPML.F90 -o UPML.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o UPML.fppized.o -I. -O2 -mtune=generic -fopenmp UPML.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o init.fppized.o -I. -O2 -mtune=generic -fopenmp init.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 leapfrog.F90 -o leapfrog.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o leapfrog.fppized.o -I. -O2 -mtune=generic -fopenmp leapfrog.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o timerRoutine.o -I. -O2 -mtune=generic -fopenmp timerRoutine.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 yeemain.F90 -o yeemain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o yeemain.fppized.o -I. -O2 -mtune=generic -fopenmp yeemain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 writeout.F90 -o writeout.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o writeout.fppized.o -I. -O2 -mtune=generic -fopenmp writeout.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 MPI_dummy.F90 -o MPI_dummy.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o MPI_dummy.fppized.o -I. -O2 -mtune=generic -fopenmp MPI_dummy.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP parameter.o globalvar.fppized.o readline.o power.fppized.o calcflops.fppized.o communicate.fppized.o huygens.fppized.o update.fppized.o material.fppized.o mur.fppized.o PlaneSource.fppized.o PEC.fppized.o init.fppized.o leapfrog.fppized.o timerRoutine.o UPML.fppized.o yeemain.fppized.o writeout.fppized.o MPI_dummy.fppized.o -o fotonik3d_s Stop make command: 2021-07-08 15:05:16 (1625771116.35876) Elapsed time for make command: 00:00:07 (7.82183194160461) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:05:16 (1625771116.36185) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:05:16 (1625771116.52264) Elapsed time for options command: 00:00:00 (0.160791873931885) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:05:16 (1625771116.52622) FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:05:16 (1625771116.70781) Elapsed time for compiler-version command: 00:00:00 (0.18159008026123) Compile for '649.fotonik3d_s' ended at: 2021-07-08 15:05:16 (1625771116) Elapsed compile for '649.fotonik3d_s': 00:00:08 (8) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/exe/sroms_base.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/exe/sroms_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 654.roms_s base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 15:05:17] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), abort.fppized): abort.fppized.f90 $(addsuffix $(OBJ),$(basename ) ocean_control.fppized) $(addsuffix $(OBJ), analytical.fppized): analytical.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_forces.fppized mod_grid.fppized mod_ncparam.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), bc_2d.fppized): bc_2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_grid.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), bc_3d.fppized): bc_3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_3d.fppized mod_grid.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), bc_bry2d.fppized): bc_bry2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), bc_bry3d.fppized): bc_bry3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), bulk_flux.fppized): bulk_flux.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_forces.fppized mod_grid.fppized mod_kinds.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), checkadj.fppized): checkadj.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_strings.fppized strings.fppized) $(addsuffix $(OBJ), checkdefs.fppized): checkdefs.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_strings.fppized) $(addsuffix $(OBJ), checkerror.fppized): checkerror.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), checkvars.fppized): checkvars.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), close_io.fppized): close_io.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), def_dim.fppized): def_dim.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), def_his.fppized): def_his.fppized.f90 $(addsuffix $(OBJ),$(basename ) def_var.fppized mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), def_info.fppized): def_info.fppized.f90 $(addsuffix $(OBJ),$(basename ) def_var.fppized mod_grid.fppized mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_strings.fppized) $(addsuffix $(OBJ), def_rst.fppized): def_rst.fppized.f90 $(addsuffix $(OBJ),$(basename ) def_var.fppized mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), def_var.fppized): def_var.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), diag.fppized): diag.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), exchange_2d.fppized): exchange_2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), exchange_3d.fppized): exchange_3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), extract_sta.fppized): extract_sta.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), gasdev.fppized): gasdev.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized nrutil.fppized) $(addsuffix $(OBJ), get_2dfld.fppized): get_2dfld.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized nf_fread2d.fppized nf_fread3d.fppized) $(addsuffix $(OBJ), get_3dfld.fppized): get_3dfld.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized nf_fread3d.fppized) $(addsuffix $(OBJ), get_bounds.fppized): get_bounds.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_parallel.fppized mod_param.fppized) $(addsuffix $(OBJ), get_cycle.fppized): get_cycle.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), get_data.fppized): get_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_forces.fppized mod_grid.fppized mod_iounits.fppized mod_ncparam.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), get_date.fppized): get_date.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), get_ngfld.fppized): get_ngfld.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), get_state.fppized): get_state.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_mixing.fppized mod_ncparam.fppized mod_netcdf.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized mod_strings.fppized nf_fread2d.fppized nf_fread3d.fppized nf_fread4d.fppized strings.fppized) $(addsuffix $(OBJ), get_varcoords.fppized): get_varcoords.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), ini_fields.fppized): ini_fields.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized exchange_3d.fppized mod_coupling.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized set_depth.fppized t3dbc_im.fppized u2dbc_im.fppized u3dbc_im.fppized v2dbc_im.fppized v3dbc_im.fppized zetabc.fppized) $(addsuffix $(OBJ), initial.fppized): initial.fppized.f90 $(addsuffix $(OBJ),$(basename ) analytical.fppized metrics.fppized mod_grid.fppized mod_iounits.fppized mod_ncparam.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized omega.fppized rho_eos.fppized set_depth.fppized set_massflux.fppized stiffness.fppized) $(addsuffix $(OBJ), inp_par.fppized): inp_par.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_kinds.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_strings.fppized ran_state.fppized) $(addsuffix $(OBJ), interpolate.fppized): interpolate.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), lmd_skpp.fppized): lmd_skpp.fppized.f90 $(addsuffix $(OBJ),$(basename ) bc_2d.fppized mod_forces.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), lmd_swfrac.fppized): lmd_swfrac.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_mixing.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), lmd_vmix.fppized): lmd_vmix.fppized.f90 $(addsuffix $(OBJ),$(basename ) bc_3d.fppized lmd_skpp.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), lubksb.fppized): lubksb.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), ludcmp.fppized): ludcmp.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), main3d.fppized): main3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) bulk_flux.fppized diag.fppized ini_fields.fppized lmd_vmix.fppized mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized omega.fppized rho_eos.fppized rhs3d.fppized set_depth.fppized set_massflux.fppized set_vbc.fppized set_zeta.fppized step2d.fppized step3d_t.fppized step3d_uv.fppized wvelocity.fppized) $(addsuffix $(OBJ), master.fppized): master.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized ocean_control.fppized) $(addsuffix $(OBJ), metrics.fppized): metrics.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_grid.fppized mod_iounits.fppized mod_ncparam.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized set_depth.fppized) $(addsuffix $(OBJ), mod_arrays.fppized): mod_arrays.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_coupling.fppized mod_forces.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized) $(addsuffix $(OBJ), mod_coupling.fppized): mod_coupling.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_param.fppized) $(addsuffix $(OBJ), mod_eoscoef.fppized): mod_eoscoef.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), mod_forces.fppized): mod_forces.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_param.fppized) $(addsuffix $(OBJ), mod_grid.fppized): mod_grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_param.fppized) $(addsuffix $(OBJ), mod_iounits.fppized): mod_iounits.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), mod_mixing.fppized): mod_mixing.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), mod_ncparam.fppized): mod_ncparam.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), mod_netcdf.fppized): mod_netcdf.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_kinds.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), mod_ocean.fppized): mod_ocean.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_param.fppized) $(addsuffix $(OBJ), mod_parallel.fppized): mod_parallel.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_param.fppized mod_scalars.fppized mod_strings.fppized) $(addsuffix $(OBJ), mod_param.fppized): mod_param.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), mod_scalars.fppized): mod_scalars.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), mod_stepping.fppized): mod_stepping.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), mp_routines.fppized): mp_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), nf_fread2d.fppized): nf_fread2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fread2d_bry.fppized): nf_fread2d_bry.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fread3d.fppized): nf_fread3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fread3d_bry.fppized): nf_fread3d_bry.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fread4d.fppized): nf_fread4d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fwrite2d.fppized): nf_fwrite2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fwrite2d_bry.fppized): nf_fwrite2d_bry.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fwrite3d.fppized): nf_fwrite3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fwrite3d_bry.fppized): nf_fwrite3d_bry.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fwrite4d.fppized): nf_fwrite4d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nrutil.fppized): nrutil.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), ocean_control.fppized): ocean_control.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), omega.fppized): omega.fppized.f90 $(addsuffix $(OBJ),$(basename ) bc_3d.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), output.fppized): output.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), pre_step3d.fppized): pre_step3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_3d.fppized mod_forces.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized t3dbc_im.fppized) $(addsuffix $(OBJ), prsgrd.fppized): prsgrd.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), ran1.fppized): ran1.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized ran_state.fppized) $(addsuffix $(OBJ), ran_state.fppized): ran_state.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized nrutil.fppized) $(addsuffix $(OBJ), regrid.fppized): regrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) interpolate.fppized mod_iounits.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), rho_eos.fppized): rho_eos.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized exchange_3d.fppized mod_coupling.fppized mod_eoscoef.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), rhs3d.fppized): rhs3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_coupling.fppized mod_forces.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized pre_step3d.fppized prsgrd.fppized t3dmix.fppized uv3dmix.fppized) $(addsuffix $(OBJ), set_2dfld.fppized): set_2dfld.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_iounits.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), set_3dfld.fppized): set_3dfld.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_3d.fppized mod_iounits.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), set_data.fppized): set_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) analytical.fppized exchange_2d.fppized mod_boundary.fppized mod_forces.fppized mod_grid.fppized mod_mixing.fppized mod_ncparam.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized set_2dfld.fppized set_3dfld.fppized) $(addsuffix $(OBJ), set_depth.fppized): set_depth.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized exchange_3d.fppized mod_coupling.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), set_massflux.fppized): set_massflux.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_3d.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), set_ngfld.fppized): set_ngfld.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), set_scoord.fppized): set_scoord.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), set_vbc.fppized): set_vbc.fppized.f90 $(addsuffix $(OBJ),$(basename ) bc_2d.fppized mod_forces.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), set_weights.fppized): set_weights.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), set_zeta.fppized): set_zeta.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_coupling.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), shapiro.fppized): shapiro.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), state_addition.fppized): state_addition.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), state_copy.fppized): state_copy.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), state_dotprod.fppized): state_dotprod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_parallel.fppized mod_param.fppized) $(addsuffix $(OBJ), state_initialize.fppized): state_initialize.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), state_product.fppized): state_product.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_parallel.fppized mod_param.fppized) $(addsuffix $(OBJ), state_scale.fppized): state_scale.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), step2d.fppized): step2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_coupling.fppized mod_forces.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized u2dbc_im.fppized v2dbc_im.fppized zetabc.fppized) $(addsuffix $(OBJ), step3d_t.fppized): step3d_t.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_3d.fppized mod_grid.fppized mod_mixing.fppized mod_ncparam.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized t3dbc_im.fppized) $(addsuffix $(OBJ), step3d_uv.fppized): step3d_uv.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized exchange_3d.fppized mod_coupling.fppized mod_forces.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized u3dbc_im.fppized v3dbc_im.fppized) $(addsuffix $(OBJ), stiffness.fppized): stiffness.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), t3dbc_im.fppized): t3dbc_im.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), t3dmix.fppized): t3dmix.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), timers.fppized): timers.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_strings.fppized) $(addsuffix $(OBJ), u2dbc_im.fppized): u2dbc_im.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_forces.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), u3dbc_im.fppized): u3dbc_im.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), utility.fppized): utility.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), uv3dmix.fppized): uv3dmix.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_coupling.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), v2dbc_im.fppized): v2dbc_im.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_forces.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), v3dbc_im.fppized): v3dbc_im.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), white_noise.fppized): white_noise.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized nrutil.fppized) $(addsuffix $(OBJ), wrt_his.fppized): wrt_his.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_coupling.fppized mod_forces.fppized mod_grid.fppized mod_iounits.fppized mod_mixing.fppized mod_ncparam.fppized mod_netcdf.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized nf_fwrite2d.fppized nf_fwrite3d.fppized omega.fppized) $(addsuffix $(OBJ), wrt_info.fppized): wrt_info.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized nf_fwrite2d.fppized strings.fppized) $(addsuffix $(OBJ), wrt_rst.fppized): wrt_rst.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_mixing.fppized mod_ncparam.fppized mod_netcdf.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized nf_fwrite2d.fppized nf_fwrite3d.fppized) $(addsuffix $(OBJ), wvelocity.fppized): wvelocity.fppized.f90 $(addsuffix $(OBJ),$(basename ) bc_3d.fppized exchange_2d.fppized mod_coupling.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), zetabc.fppized): zetabc.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=654 NAME=roms_s SOURCES= bbl.F90 bc_2d.F90 exchange_2d.F90 mod_param.F90 mod_kinds.F90 \ mod_grid.F90 mod_scalars.F90 mod_bbl.F90 mod_forces.F90 mod_ocean.F90 \ mod_sediment.F90 mod_parallel.F90 mod_iounits.F90 mod_strings.F90 \ mod_stepping.F90 mp_exchange.F90 bc_3d.F90 exchange_3d.F90 bc_bry2d.F90 \ bc_bry3d.F90 bulk_flux.F90 mod_mixing.F90 bvf_mix.F90 conv_2d.F90 \ conv_3d.F90 conv_bry2d.F90 conv_bry3d.F90 diag.F90 analytical.F90 \ distribute.F90 mod_ncparam.F90 mod_biology.F90 mod_eclight.F90 \ mod_boundary.F90 mod_clima.F90 mod_sources.F90 mod_netcdf.F90 \ strings.F90 forcing.F90 mod_coupling.F90 frc_adjust.F90 get_data.F90 \ mod_obs.F90 get_idata.F90 mod_tides.F90 nf_fread3d.F90 nf_fread4d.F90 \ gls_corstep.F90 tkebc_im.F90 gls_prestep.F90 hmixing.F90 ini_fields.F90 \ set_depth.F90 t3dbc_im.F90 u2dbc_im.F90 u3dbc_im.F90 v2dbc_im.F90 \ v3dbc_im.F90 zetabc.F90 initial.F90 ini_adjust.F90 mod_fourdvar.F90 \ state_addition.F90 state_copy.F90 metrics.F90 ocean_coupler.F90 \ mod_coupler.F90 roms_export.F90 roms_import.F90 omega.F90 rho_eos.F90 \ mod_eoscoef.F90 set_massflux.F90 stiffness.F90 wpoints.F90 \ mod_storage.F90 interp_floats.F90 lmd_bkpp.F90 shapiro.F90 lmd_skpp.F90 \ lmd_swfrac.F90 lmd_vmix.F90 main2d.F90 dotproduct.F90 obc_adjust.F90 \ oi_update.F90 radiation_stress.F90 mod_diags.F90 set_avg.F90 \ mod_average.F90 set_tides.F90 set_vbc.F90 step2d.F90 obc_volcons.F90 \ wetdry.F90 step_floats.F90 mod_floats.F90 vwalk_floats.F90 utility.F90 \ main3d.F90 biology.F90 my25_corstep.F90 my25_prestep.F90 rhs3d.F90 \ pre_step3d.F90 prsgrd.F90 t3dmix.F90 uv3dmix.F90 sediment.F90 \ sed_bed.F90 sed_bedload.F90 sed_fluxes.F90 sed_settling.F90 \ sed_surface.F90 set_zeta.F90 step3d_t.F90 mpdata_adiff.F90 step3d_uv.F90 \ wvelocity.F90 output.F90 set_data.F90 set_2dfld.F90 set_3dfld.F90 \ abort.F90 ocean_control.F90 back_cost.F90 cgradient.F90 nf_fread2d.F90 \ nf_fread2d_bry.F90 nf_fread3d_bry.F90 state_dotprod.F90 \ state_initialize.F90 state_scale.F90 cost_grad.F90 normalization.F90 \ nf_fwrite2d.F90 nf_fwrite3d.F90 white_noise.F90 nrutil.F90 packing.F90 \ posterior.F90 posterior_var.F90 state_product.F90 propagator.F90 \ random_ic.F90 sum_grad.F90 zeta_balance.F90 checkadj.F90 checkdefs.F90 \ checkerror.F90 checkvars.F90 close_io.F90 congrad.F90 def_avg.F90 \ def_var.F90 def_diags.F90 def_dim.F90 def_error.F90 def_floats.F90 \ def_gst.F90 def_hessian.F90 def_his.F90 def_impulse.F90 def_info.F90 \ def_ini.F90 def_lanczos.F90 def_mod.F90 def_norm.F90 def_rst.F90 \ def_station.F90 def_tides.F90 extract_obs.F90 extract_sta.F90 \ frc_weak.F90 gasdev.F90 get_2dfld.F90 get_2dfldr.F90 get_3dfld.F90 \ get_3dfldr.F90 get_bounds.F90 get_cycle.F90 get_date.F90 get_grid.F90 \ get_gst.F90 get_ngfld.F90 get_ngfldr.F90 get_state.F90 get_varcoords.F90 \ grid_coords.F90 interpolate.F90 ini_lanczos.F90 inp_par.F90 \ ran_state.F90 lubksb.F90 ludcmp.F90 mp_routines.F90 nf_fwrite2d_bry.F90 \ nf_fwrite3d_bry.F90 nf_fwrite4d.F90 obs_cost.F90 obs_depth.F90 \ obs_initial.F90 obs_read.F90 obs_write.F90 ran1.F90 regrid.F90 \ rep_matrix.F90 set_2dfldr.F90 set_3dfldr.F90 set_diags.F90 set_ngfld.F90 \ set_ngfldr.F90 set_scoord.F90 set_weights.F90 stats_modobs.F90 \ timers.F90 wrt_avg.F90 wrt_diags.F90 wrt_error.F90 wrt_floats.F90 \ wrt_gst.F90 wrt_hessian.F90 wrt_his.F90 wrt_impulse.F90 wrt_info.F90 \ wrt_ini.F90 wrt_rst.F90 wrt_station.F90 wrt_tides.F90 mod_arrays.F90 \ mod_nesting.F90 esmf_roms.F90 master.F90 EXEBASE=sroms NEED_MATH= BENCHLANG=F BENCH_CFLAGS = -I. BENCH_FFLAGS = -I. BENCH_FPPFLAGS = -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = 1e-07 action = build allow_label_override = 0 backup_config = 1 baseexe = sroms basepeak = 0 benchdir = benchspec benchmark = 654.roms_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = sroms_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = roms_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 654 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = 1e-07 reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/554.roms_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = ocean_benchmark1.log Compile for '654.roms_s' started at: 2021-07-08 15:05:17 (1625771117) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:05:17 (1625771117.28869) rm -rf *.o ocean_benchmark1.log find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf sroms rm -rf sroms.exe rm -rf core Stop make.clean command: 2021-07-08 15:05:17 (1625771117.45149) Elapsed time for make.clean command: 00:00:00 (0.162800073623657) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:05:17 (1625771117.45457) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bbl.F90 -o bbl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bbl.fppized.o -I. -O2 -mtune=generic -fopenmp bbl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bc_2d.F90 -o bc_2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 exchange_2d.F90 -o exchange_2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_param.F90 -o mod_param.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_kinds.F90 -o mod_kinds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_kinds.fppized.o -I. -O2 -mtune=generic -fopenmp mod_kinds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_param.fppized.o -I. -O2 -mtune=generic -fopenmp mod_param.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o exchange_2d.fppized.o -I. -O2 -mtune=generic -fopenmp exchange_2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_grid.F90 -o mod_grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_grid.fppized.o -I. -O2 -mtune=generic -fopenmp mod_grid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_scalars.F90 -o mod_scalars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_scalars.fppized.o -I. -O2 -mtune=generic -fopenmp mod_scalars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bc_2d.fppized.o -I. -O2 -mtune=generic -fopenmp bc_2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_bbl.F90 -o mod_bbl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_bbl.fppized.o -I. -O2 -mtune=generic -fopenmp mod_bbl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_forces.F90 -o mod_forces.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_forces.fppized.o -I. -O2 -mtune=generic -fopenmp mod_forces.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_ocean.F90 -o mod_ocean.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_ocean.fppized.o -I. -O2 -mtune=generic -fopenmp mod_ocean.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_sediment.F90 -o mod_sediment.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_sediment.fppized.o -I. -O2 -mtune=generic -fopenmp mod_sediment.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_parallel.F90 -o mod_parallel.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_iounits.F90 -o mod_iounits.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_iounits.fppized.o -I. -O2 -mtune=generic -fopenmp mod_iounits.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_strings.F90 -o mod_strings.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_strings.fppized.o -I. -O2 -mtune=generic -fopenmp mod_strings.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_parallel.fppized.o -I. -O2 -mtune=generic -fopenmp mod_parallel.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_stepping.F90 -o mod_stepping.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_stepping.fppized.o -I. -O2 -mtune=generic -fopenmp mod_stepping.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mp_exchange.F90 -o mp_exchange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mp_exchange.fppized.o -I. -O2 -mtune=generic -fopenmp mp_exchange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bc_3d.F90 -o bc_3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 exchange_3d.F90 -o exchange_3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o exchange_3d.fppized.o -I. -O2 -mtune=generic -fopenmp exchange_3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bc_3d.fppized.o -I. -O2 -mtune=generic -fopenmp bc_3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bc_bry2d.F90 -o bc_bry2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bc_bry2d.fppized.o -I. -O2 -mtune=generic -fopenmp bc_bry2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bc_bry3d.F90 -o bc_bry3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bc_bry3d.fppized.o -I. -O2 -mtune=generic -fopenmp bc_bry3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bulk_flux.F90 -o bulk_flux.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_mixing.F90 -o mod_mixing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_mixing.fppized.o -I. -O2 -mtune=generic -fopenmp mod_mixing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bulk_flux.fppized.o -I. -O2 -mtune=generic -fopenmp bulk_flux.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bvf_mix.F90 -o bvf_mix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bvf_mix.fppized.o -I. -O2 -mtune=generic -fopenmp bvf_mix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 conv_2d.F90 -o conv_2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o conv_2d.fppized.o -I. -O2 -mtune=generic -fopenmp conv_2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 conv_3d.F90 -o conv_3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o conv_3d.fppized.o -I. -O2 -mtune=generic -fopenmp conv_3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 conv_bry2d.F90 -o conv_bry2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o conv_bry2d.fppized.o -I. -O2 -mtune=generic -fopenmp conv_bry2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 conv_bry3d.F90 -o conv_bry3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o conv_bry3d.fppized.o -I. -O2 -mtune=generic -fopenmp conv_bry3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 diag.F90 -o diag.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diag.fppized.o -I. -O2 -mtune=generic -fopenmp diag.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 analytical.F90 -o analytical.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_ncparam.F90 -o mod_ncparam.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_ncparam.fppized.o -I. -O2 -mtune=generic -fopenmp mod_ncparam.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o analytical.fppized.o -I. -O2 -mtune=generic -fopenmp analytical.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 distribute.F90 -o distribute.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o distribute.fppized.o -I. -O2 -mtune=generic -fopenmp distribute.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_biology.F90 -o mod_biology.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_biology.fppized.o -I. -O2 -mtune=generic -fopenmp mod_biology.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_eclight.F90 -o mod_eclight.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_eclight.fppized.o -I. -O2 -mtune=generic -fopenmp mod_eclight.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_boundary.F90 -o mod_boundary.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_boundary.fppized.o -I. -O2 -mtune=generic -fopenmp mod_boundary.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_clima.F90 -o mod_clima.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_clima.fppized.o -I. -O2 -mtune=generic -fopenmp mod_clima.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_sources.F90 -o mod_sources.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_sources.fppized.o -I. -O2 -mtune=generic -fopenmp mod_sources.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_netcdf.F90 -o mod_netcdf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_netcdf.fppized.o -I. -O2 -mtune=generic -fopenmp mod_netcdf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 strings.F90 -o strings.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o strings.fppized.o -I. -O2 -mtune=generic -fopenmp strings.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 forcing.F90 -o forcing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing.fppized.o -I. -O2 -mtune=generic -fopenmp forcing.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_coupling.F90 -o mod_coupling.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_coupling.fppized.o -I. -O2 -mtune=generic -fopenmp mod_coupling.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 frc_adjust.F90 -o frc_adjust.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o frc_adjust.fppized.o -I. -O2 -mtune=generic -fopenmp frc_adjust.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_data.F90 -o get_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_data.fppized.o -I. -O2 -mtune=generic -fopenmp get_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_obs.F90 -o mod_obs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_obs.fppized.o -I. -O2 -mtune=generic -fopenmp mod_obs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_idata.F90 -o get_idata.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_idata.fppized.o -I. -O2 -mtune=generic -fopenmp get_idata.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_tides.F90 -o mod_tides.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_tides.fppized.o -I. -O2 -mtune=generic -fopenmp mod_tides.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fread3d.F90 -o nf_fread3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fread3d.fppized.o -I. -O2 -mtune=generic -fopenmp nf_fread3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fread4d.F90 -o nf_fread4d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fread4d.fppized.o -I. -O2 -mtune=generic -fopenmp nf_fread4d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 gls_corstep.F90 -o gls_corstep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gls_corstep.fppized.o -I. -O2 -mtune=generic -fopenmp gls_corstep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 tkebc_im.F90 -o tkebc_im.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tkebc_im.fppized.o -I. -O2 -mtune=generic -fopenmp tkebc_im.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 gls_prestep.F90 -o gls_prestep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gls_prestep.fppized.o -I. -O2 -mtune=generic -fopenmp gls_prestep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 hmixing.F90 -o hmixing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hmixing.fppized.o -I. -O2 -mtune=generic -fopenmp hmixing.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ini_fields.F90 -o ini_fields.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_depth.F90 -o set_depth.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_depth.fppized.o -I. -O2 -mtune=generic -fopenmp set_depth.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 t3dbc_im.F90 -o t3dbc_im.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o t3dbc_im.fppized.o -I. -O2 -mtune=generic -fopenmp t3dbc_im.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 u2dbc_im.F90 -o u2dbc_im.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o u2dbc_im.fppized.o -I. -O2 -mtune=generic -fopenmp u2dbc_im.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 u3dbc_im.F90 -o u3dbc_im.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o u3dbc_im.fppized.o -I. -O2 -mtune=generic -fopenmp u3dbc_im.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 v2dbc_im.F90 -o v2dbc_im.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o v2dbc_im.fppized.o -I. -O2 -mtune=generic -fopenmp v2dbc_im.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 v3dbc_im.F90 -o v3dbc_im.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o v3dbc_im.fppized.o -I. -O2 -mtune=generic -fopenmp v3dbc_im.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 zetabc.F90 -o zetabc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zetabc.fppized.o -I. -O2 -mtune=generic -fopenmp zetabc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ini_fields.fppized.o -I. -O2 -mtune=generic -fopenmp ini_fields.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 initial.F90 -o initial.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 metrics.F90 -o metrics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o metrics.fppized.o -I. -O2 -mtune=generic -fopenmp metrics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 omega.F90 -o omega.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o omega.fppized.o -I. -O2 -mtune=generic -fopenmp omega.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 rho_eos.F90 -o rho_eos.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_eoscoef.F90 -o mod_eoscoef.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_eoscoef.fppized.o -I. -O2 -mtune=generic -fopenmp mod_eoscoef.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rho_eos.fppized.o -I. -O2 -mtune=generic -fopenmp rho_eos.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_massflux.F90 -o set_massflux.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_massflux.fppized.o -I. -O2 -mtune=generic -fopenmp set_massflux.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 stiffness.F90 -o stiffness.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o stiffness.fppized.o -I. -O2 -mtune=generic -fopenmp stiffness.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o initial.fppized.o -I. -O2 -mtune=generic -fopenmp initial.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ini_adjust.F90 -o ini_adjust.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ini_adjust.fppized.o -I. -O2 -mtune=generic -fopenmp ini_adjust.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_fourdvar.F90 -o mod_fourdvar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_fourdvar.fppized.o -I. -O2 -mtune=generic -fopenmp mod_fourdvar.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 state_addition.F90 -o state_addition.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_addition.fppized.o -I. -O2 -mtune=generic -fopenmp state_addition.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 state_copy.F90 -o state_copy.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_copy.fppized.o -I. -O2 -mtune=generic -fopenmp state_copy.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ocean_coupler.F90 -o ocean_coupler.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocean_coupler.fppized.o -I. -O2 -mtune=generic -fopenmp ocean_coupler.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_coupler.F90 -o mod_coupler.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_coupler.fppized.o -I. -O2 -mtune=generic -fopenmp mod_coupler.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 roms_export.F90 -o roms_export.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o roms_export.fppized.o -I. -O2 -mtune=generic -fopenmp roms_export.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 roms_import.F90 -o roms_import.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o roms_import.fppized.o -I. -O2 -mtune=generic -fopenmp roms_import.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wpoints.F90 -o wpoints.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wpoints.fppized.o -I. -O2 -mtune=generic -fopenmp wpoints.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_storage.F90 -o mod_storage.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_storage.fppized.o -I. -O2 -mtune=generic -fopenmp mod_storage.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 interp_floats.F90 -o interp_floats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o interp_floats.fppized.o -I. -O2 -mtune=generic -fopenmp interp_floats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 lmd_bkpp.F90 -o lmd_bkpp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lmd_bkpp.fppized.o -I. -O2 -mtune=generic -fopenmp lmd_bkpp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 shapiro.F90 -o shapiro.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shapiro.fppized.o -I. -O2 -mtune=generic -fopenmp shapiro.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 lmd_skpp.F90 -o lmd_skpp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lmd_skpp.fppized.o -I. -O2 -mtune=generic -fopenmp lmd_skpp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 lmd_swfrac.F90 -o lmd_swfrac.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lmd_swfrac.fppized.o -I. -O2 -mtune=generic -fopenmp lmd_swfrac.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 lmd_vmix.F90 -o lmd_vmix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lmd_vmix.fppized.o -I. -O2 -mtune=generic -fopenmp lmd_vmix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 main2d.F90 -o main2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o main2d.fppized.o -I. -O2 -mtune=generic -fopenmp main2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 dotproduct.F90 -o dotproduct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dotproduct.fppized.o -I. -O2 -mtune=generic -fopenmp dotproduct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obc_adjust.F90 -o obc_adjust.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obc_adjust.fppized.o -I. -O2 -mtune=generic -fopenmp obc_adjust.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 oi_update.F90 -o oi_update.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o oi_update.fppized.o -I. -O2 -mtune=generic -fopenmp oi_update.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 radiation_stress.F90 -o radiation_stress.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radiation_stress.fppized.o -I. -O2 -mtune=generic -fopenmp radiation_stress.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_diags.F90 -o mod_diags.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_diags.fppized.o -I. -O2 -mtune=generic -fopenmp mod_diags.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_avg.F90 -o set_avg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_avg.fppized.o -I. -O2 -mtune=generic -fopenmp set_avg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_average.F90 -o mod_average.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_average.fppized.o -I. -O2 -mtune=generic -fopenmp mod_average.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_tides.F90 -o set_tides.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_tides.fppized.o -I. -O2 -mtune=generic -fopenmp set_tides.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_vbc.F90 -o set_vbc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_vbc.fppized.o -I. -O2 -mtune=generic -fopenmp set_vbc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 step2d.F90 -o step2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o step2d.fppized.o -I. -O2 -mtune=generic -fopenmp step2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obc_volcons.F90 -o obc_volcons.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obc_volcons.fppized.o -I. -O2 -mtune=generic -fopenmp obc_volcons.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wetdry.F90 -o wetdry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wetdry.fppized.o -I. -O2 -mtune=generic -fopenmp wetdry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 step_floats.F90 -o step_floats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o step_floats.fppized.o -I. -O2 -mtune=generic -fopenmp step_floats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_floats.F90 -o mod_floats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_floats.fppized.o -I. -O2 -mtune=generic -fopenmp mod_floats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 vwalk_floats.F90 -o vwalk_floats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vwalk_floats.fppized.o -I. -O2 -mtune=generic -fopenmp vwalk_floats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 utility.F90 -o utility.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o utility.fppized.o -I. -O2 -mtune=generic -fopenmp utility.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 main3d.F90 -o main3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 rhs3d.F90 -o rhs3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 pre_step3d.F90 -o pre_step3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pre_step3d.fppized.o -I. -O2 -mtune=generic -fopenmp pre_step3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 prsgrd.F90 -o prsgrd.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prsgrd.fppized.o -I. -O2 -mtune=generic -fopenmp prsgrd.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 t3dmix.F90 -o t3dmix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o t3dmix.fppized.o -I. -O2 -mtune=generic -fopenmp t3dmix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 uv3dmix.F90 -o uv3dmix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o uv3dmix.fppized.o -I. -O2 -mtune=generic -fopenmp uv3dmix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rhs3d.fppized.o -I. -O2 -mtune=generic -fopenmp rhs3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_zeta.F90 -o set_zeta.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_zeta.fppized.o -I. -O2 -mtune=generic -fopenmp set_zeta.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 step3d_t.F90 -o step3d_t.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o step3d_t.fppized.o -I. -O2 -mtune=generic -fopenmp step3d_t.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 step3d_uv.F90 -o step3d_uv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o step3d_uv.fppized.o -I. -O2 -mtune=generic -fopenmp step3d_uv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wvelocity.F90 -o wvelocity.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wvelocity.fppized.o -I. -O2 -mtune=generic -fopenmp wvelocity.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o main3d.fppized.o -I. -O2 -mtune=generic -fopenmp main3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 biology.F90 -o biology.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o biology.fppized.o -I. -O2 -mtune=generic -fopenmp biology.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 my25_corstep.F90 -o my25_corstep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o my25_corstep.fppized.o -I. -O2 -mtune=generic -fopenmp my25_corstep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 my25_prestep.F90 -o my25_prestep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o my25_prestep.fppized.o -I. -O2 -mtune=generic -fopenmp my25_prestep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sediment.F90 -o sediment.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sediment.fppized.o -I. -O2 -mtune=generic -fopenmp sediment.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sed_bed.F90 -o sed_bed.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sed_bed.fppized.o -I. -O2 -mtune=generic -fopenmp sed_bed.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sed_bedload.F90 -o sed_bedload.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sed_bedload.fppized.o -I. -O2 -mtune=generic -fopenmp sed_bedload.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sed_fluxes.F90 -o sed_fluxes.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sed_fluxes.fppized.o -I. -O2 -mtune=generic -fopenmp sed_fluxes.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sed_settling.F90 -o sed_settling.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sed_settling.fppized.o -I. -O2 -mtune=generic -fopenmp sed_settling.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sed_surface.F90 -o sed_surface.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sed_surface.fppized.o -I. -O2 -mtune=generic -fopenmp sed_surface.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mpdata_adiff.F90 -o mpdata_adiff.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mpdata_adiff.fppized.o -I. -O2 -mtune=generic -fopenmp mpdata_adiff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 output.F90 -o output.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o output.fppized.o -I. -O2 -mtune=generic -fopenmp output.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_data.F90 -o set_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_2dfld.F90 -o set_2dfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_2dfld.fppized.o -I. -O2 -mtune=generic -fopenmp set_2dfld.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_3dfld.F90 -o set_3dfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_3dfld.fppized.o -I. -O2 -mtune=generic -fopenmp set_3dfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_data.fppized.o -I. -O2 -mtune=generic -fopenmp set_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 abort.F90 -o abort.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ocean_control.F90 -o ocean_control.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocean_control.fppized.o -I. -O2 -mtune=generic -fopenmp ocean_control.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o abort.fppized.o -I. -O2 -mtune=generic -fopenmp abort.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 back_cost.F90 -o back_cost.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o back_cost.fppized.o -I. -O2 -mtune=generic -fopenmp back_cost.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 cgradient.F90 -o cgradient.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cgradient.fppized.o -I. -O2 -mtune=generic -fopenmp cgradient.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fread2d.F90 -o nf_fread2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fread2d.fppized.o -I. -O2 -mtune=generic -fopenmp nf_fread2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fread2d_bry.F90 -o nf_fread2d_bry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fread2d_bry.fppized.o -I. -O2 -mtune=generic -fopenmp nf_fread2d_bry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fread3d_bry.F90 -o nf_fread3d_bry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fread3d_bry.fppized.o -I. -O2 -mtune=generic -fopenmp nf_fread3d_bry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 state_dotprod.F90 -o state_dotprod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_dotprod.fppized.o -I. -O2 -mtune=generic -fopenmp state_dotprod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 state_initialize.F90 -o state_initialize.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_initialize.fppized.o -I. -O2 -mtune=generic -fopenmp state_initialize.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 state_scale.F90 -o state_scale.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_scale.fppized.o -I. -O2 -mtune=generic -fopenmp state_scale.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 cost_grad.F90 -o cost_grad.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cost_grad.fppized.o -I. -O2 -mtune=generic -fopenmp cost_grad.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 normalization.F90 -o normalization.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o normalization.fppized.o -I. -O2 -mtune=generic -fopenmp normalization.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fwrite2d.F90 -o nf_fwrite2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fwrite2d.fppized.o -I. -O2 -mtune=generic -fopenmp nf_fwrite2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fwrite3d.F90 -o nf_fwrite3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fwrite3d.fppized.o -I. -O2 -mtune=generic -fopenmp nf_fwrite3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 white_noise.F90 -o white_noise.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nrutil.F90 -o nrutil.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nrutil.fppized.o -I. -O2 -mtune=generic -fopenmp nrutil.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o white_noise.fppized.o -I. -O2 -mtune=generic -fopenmp white_noise.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 packing.F90 -o packing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o packing.fppized.o -I. -O2 -mtune=generic -fopenmp packing.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 posterior.F90 -o posterior.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o posterior.fppized.o -I. -O2 -mtune=generic -fopenmp posterior.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 posterior_var.F90 -o posterior_var.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o posterior_var.fppized.o -I. -O2 -mtune=generic -fopenmp posterior_var.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 state_product.F90 -o state_product.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_product.fppized.o -I. -O2 -mtune=generic -fopenmp state_product.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 propagator.F90 -o propagator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o propagator.fppized.o -I. -O2 -mtune=generic -fopenmp propagator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 random_ic.F90 -o random_ic.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o random_ic.fppized.o -I. -O2 -mtune=generic -fopenmp random_ic.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sum_grad.F90 -o sum_grad.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sum_grad.fppized.o -I. -O2 -mtune=generic -fopenmp sum_grad.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 zeta_balance.F90 -o zeta_balance.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zeta_balance.fppized.o -I. -O2 -mtune=generic -fopenmp zeta_balance.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 checkadj.F90 -o checkadj.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o checkadj.fppized.o -I. -O2 -mtune=generic -fopenmp checkadj.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 checkdefs.F90 -o checkdefs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o checkdefs.fppized.o -I. -O2 -mtune=generic -fopenmp checkdefs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 checkerror.F90 -o checkerror.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o checkerror.fppized.o -I. -O2 -mtune=generic -fopenmp checkerror.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 checkvars.F90 -o checkvars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o checkvars.fppized.o -I. -O2 -mtune=generic -fopenmp checkvars.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 close_io.F90 -o close_io.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o close_io.fppized.o -I. -O2 -mtune=generic -fopenmp close_io.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 congrad.F90 -o congrad.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o congrad.fppized.o -I. -O2 -mtune=generic -fopenmp congrad.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_avg.F90 -o def_avg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_avg.fppized.o -I. -O2 -mtune=generic -fopenmp def_avg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_var.F90 -o def_var.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_var.fppized.o -I. -O2 -mtune=generic -fopenmp def_var.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_diags.F90 -o def_diags.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_diags.fppized.o -I. -O2 -mtune=generic -fopenmp def_diags.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_dim.F90 -o def_dim.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_dim.fppized.o -I. -O2 -mtune=generic -fopenmp def_dim.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_error.F90 -o def_error.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_error.fppized.o -I. -O2 -mtune=generic -fopenmp def_error.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_floats.F90 -o def_floats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_floats.fppized.o -I. -O2 -mtune=generic -fopenmp def_floats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_gst.F90 -o def_gst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_gst.fppized.o -I. -O2 -mtune=generic -fopenmp def_gst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_hessian.F90 -o def_hessian.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_hessian.fppized.o -I. -O2 -mtune=generic -fopenmp def_hessian.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_his.F90 -o def_his.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_his.fppized.o -I. -O2 -mtune=generic -fopenmp def_his.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_impulse.F90 -o def_impulse.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_impulse.fppized.o -I. -O2 -mtune=generic -fopenmp def_impulse.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_info.F90 -o def_info.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_info.fppized.o -I. -O2 -mtune=generic -fopenmp def_info.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_ini.F90 -o def_ini.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_ini.fppized.o -I. -O2 -mtune=generic -fopenmp def_ini.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_lanczos.F90 -o def_lanczos.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_lanczos.fppized.o -I. -O2 -mtune=generic -fopenmp def_lanczos.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_mod.F90 -o def_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_mod.fppized.o -I. -O2 -mtune=generic -fopenmp def_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_norm.F90 -o def_norm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_norm.fppized.o -I. -O2 -mtune=generic -fopenmp def_norm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_rst.F90 -o def_rst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_rst.fppized.o -I. -O2 -mtune=generic -fopenmp def_rst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_station.F90 -o def_station.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_station.fppized.o -I. -O2 -mtune=generic -fopenmp def_station.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_tides.F90 -o def_tides.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_tides.fppized.o -I. -O2 -mtune=generic -fopenmp def_tides.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 extract_obs.F90 -o extract_obs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o extract_obs.fppized.o -I. -O2 -mtune=generic -fopenmp extract_obs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 extract_sta.F90 -o extract_sta.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o extract_sta.fppized.o -I. -O2 -mtune=generic -fopenmp extract_sta.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 frc_weak.F90 -o frc_weak.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o frc_weak.fppized.o -I. -O2 -mtune=generic -fopenmp frc_weak.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 gasdev.F90 -o gasdev.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gasdev.fppized.o -I. -O2 -mtune=generic -fopenmp gasdev.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_2dfld.F90 -o get_2dfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_2dfld.fppized.o -I. -O2 -mtune=generic -fopenmp get_2dfld.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_2dfldr.F90 -o get_2dfldr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_2dfldr.fppized.o -I. -O2 -mtune=generic -fopenmp get_2dfldr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_3dfld.F90 -o get_3dfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_3dfld.fppized.o -I. -O2 -mtune=generic -fopenmp get_3dfld.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_3dfldr.F90 -o get_3dfldr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_3dfldr.fppized.o -I. -O2 -mtune=generic -fopenmp get_3dfldr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_bounds.F90 -o get_bounds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_bounds.fppized.o -I. -O2 -mtune=generic -fopenmp get_bounds.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_cycle.F90 -o get_cycle.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_cycle.fppized.o -I. -O2 -mtune=generic -fopenmp get_cycle.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_date.F90 -o get_date.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_date.fppized.o -I. -O2 -mtune=generic -fopenmp get_date.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_grid.F90 -o get_grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_grid.fppized.o -I. -O2 -mtune=generic -fopenmp get_grid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_gst.F90 -o get_gst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_gst.fppized.o -I. -O2 -mtune=generic -fopenmp get_gst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_ngfld.F90 -o get_ngfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_ngfld.fppized.o -I. -O2 -mtune=generic -fopenmp get_ngfld.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_ngfldr.F90 -o get_ngfldr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_ngfldr.fppized.o -I. -O2 -mtune=generic -fopenmp get_ngfldr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_state.F90 -o get_state.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_state.fppized.o -I. -O2 -mtune=generic -fopenmp get_state.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_varcoords.F90 -o get_varcoords.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_varcoords.fppized.o -I. -O2 -mtune=generic -fopenmp get_varcoords.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 grid_coords.F90 -o grid_coords.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o grid_coords.fppized.o -I. -O2 -mtune=generic -fopenmp grid_coords.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 interpolate.F90 -o interpolate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o interpolate.fppized.o -I. -O2 -mtune=generic -fopenmp interpolate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ini_lanczos.F90 -o ini_lanczos.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ini_lanczos.fppized.o -I. -O2 -mtune=generic -fopenmp ini_lanczos.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 inp_par.F90 -o inp_par.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ran_state.F90 -o ran_state.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ran_state.fppized.o -I. -O2 -mtune=generic -fopenmp ran_state.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o inp_par.fppized.o -I. -O2 -mtune=generic -fopenmp inp_par.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 lubksb.F90 -o lubksb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lubksb.fppized.o -I. -O2 -mtune=generic -fopenmp lubksb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ludcmp.F90 -o ludcmp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ludcmp.fppized.o -I. -O2 -mtune=generic -fopenmp ludcmp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mp_routines.F90 -o mp_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mp_routines.fppized.o -I. -O2 -mtune=generic -fopenmp mp_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fwrite2d_bry.F90 -o nf_fwrite2d_bry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fwrite2d_bry.fppized.o -I. -O2 -mtune=generic -fopenmp nf_fwrite2d_bry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fwrite3d_bry.F90 -o nf_fwrite3d_bry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fwrite3d_bry.fppized.o -I. -O2 -mtune=generic -fopenmp nf_fwrite3d_bry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fwrite4d.F90 -o nf_fwrite4d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fwrite4d.fppized.o -I. -O2 -mtune=generic -fopenmp nf_fwrite4d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obs_cost.F90 -o obs_cost.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obs_cost.fppized.o -I. -O2 -mtune=generic -fopenmp obs_cost.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obs_depth.F90 -o obs_depth.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obs_depth.fppized.o -I. -O2 -mtune=generic -fopenmp obs_depth.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obs_initial.F90 -o obs_initial.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obs_initial.fppized.o -I. -O2 -mtune=generic -fopenmp obs_initial.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obs_read.F90 -o obs_read.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obs_read.fppized.o -I. -O2 -mtune=generic -fopenmp obs_read.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obs_write.F90 -o obs_write.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obs_write.fppized.o -I. -O2 -mtune=generic -fopenmp obs_write.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ran1.F90 -o ran1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ran1.fppized.o -I. -O2 -mtune=generic -fopenmp ran1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 regrid.F90 -o regrid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o regrid.fppized.o -I. -O2 -mtune=generic -fopenmp regrid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 rep_matrix.F90 -o rep_matrix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rep_matrix.fppized.o -I. -O2 -mtune=generic -fopenmp rep_matrix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_2dfldr.F90 -o set_2dfldr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_2dfldr.fppized.o -I. -O2 -mtune=generic -fopenmp set_2dfldr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_3dfldr.F90 -o set_3dfldr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_3dfldr.fppized.o -I. -O2 -mtune=generic -fopenmp set_3dfldr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_diags.F90 -o set_diags.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_diags.fppized.o -I. -O2 -mtune=generic -fopenmp set_diags.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_ngfld.F90 -o set_ngfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_ngfld.fppized.o -I. -O2 -mtune=generic -fopenmp set_ngfld.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_ngfldr.F90 -o set_ngfldr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_ngfldr.fppized.o -I. -O2 -mtune=generic -fopenmp set_ngfldr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_scoord.F90 -o set_scoord.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_scoord.fppized.o -I. -O2 -mtune=generic -fopenmp set_scoord.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_weights.F90 -o set_weights.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_weights.fppized.o -I. -O2 -mtune=generic -fopenmp set_weights.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 stats_modobs.F90 -o stats_modobs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o stats_modobs.fppized.o -I. -O2 -mtune=generic -fopenmp stats_modobs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 timers.F90 -o timers.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o timers.fppized.o -I. -O2 -mtune=generic -fopenmp timers.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_avg.F90 -o wrt_avg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_avg.fppized.o -I. -O2 -mtune=generic -fopenmp wrt_avg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_diags.F90 -o wrt_diags.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_diags.fppized.o -I. -O2 -mtune=generic -fopenmp wrt_diags.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_error.F90 -o wrt_error.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_error.fppized.o -I. -O2 -mtune=generic -fopenmp wrt_error.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_floats.F90 -o wrt_floats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_floats.fppized.o -I. -O2 -mtune=generic -fopenmp wrt_floats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_gst.F90 -o wrt_gst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_gst.fppized.o -I. -O2 -mtune=generic -fopenmp wrt_gst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_hessian.F90 -o wrt_hessian.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_hessian.fppized.o -I. -O2 -mtune=generic -fopenmp wrt_hessian.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_his.F90 -o wrt_his.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_his.fppized.o -I. -O2 -mtune=generic -fopenmp wrt_his.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_impulse.F90 -o wrt_impulse.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_impulse.fppized.o -I. -O2 -mtune=generic -fopenmp wrt_impulse.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_info.F90 -o wrt_info.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_info.fppized.o -I. -O2 -mtune=generic -fopenmp wrt_info.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_ini.F90 -o wrt_ini.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_ini.fppized.o -I. -O2 -mtune=generic -fopenmp wrt_ini.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_rst.F90 -o wrt_rst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_rst.fppized.o -I. -O2 -mtune=generic -fopenmp wrt_rst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_station.F90 -o wrt_station.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_station.fppized.o -I. -O2 -mtune=generic -fopenmp wrt_station.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_tides.F90 -o wrt_tides.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_tides.fppized.o -I. -O2 -mtune=generic -fopenmp wrt_tides.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_arrays.F90 -o mod_arrays.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_arrays.fppized.o -I. -O2 -mtune=generic -fopenmp mod_arrays.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_nesting.F90 -o mod_nesting.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_nesting.fppized.o -I. -O2 -mtune=generic -fopenmp mod_nesting.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 esmf_roms.F90 -o esmf_roms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o esmf_roms.fppized.o -I. -O2 -mtune=generic -fopenmp esmf_roms.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 master.F90 -o master.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o master.fppized.o -I. -O2 -mtune=generic -fopenmp master.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP bbl.fppized.o bc_2d.fppized.o exchange_2d.fppized.o mod_param.fppized.o mod_kinds.fppized.o mod_grid.fppized.o mod_scalars.fppized.o mod_bbl.fppized.o mod_forces.fppized.o mod_ocean.fppized.o mod_sediment.fppized.o mod_parallel.fppized.o mod_iounits.fppized.o mod_strings.fppized.o mod_stepping.fppized.o mp_exchange.fppized.o bc_3d.fppized.o exchange_3d.fppized.o bc_bry2d.fppized.o bc_bry3d.fppized.o bulk_flux.fppized.o mod_mixing.fppized.o bvf_mix.fppized.o conv_2d.fppized.o conv_3d.fppized.o conv_bry2d.fppized.o conv_bry3d.fppized.o diag.fppized.o analytical.fppized.o distribute.fppized.o mod_ncparam.fppized.o mod_biology.fppized.o mod_eclight.fppized.o mod_boundary.fppized.o mod_clima.fppized.o mod_sources.fppized.o mod_netcdf.fppized.o strings.fppized.o forcing.fppized.o mod_coupling.fppized.o frc_adjust.fppized.o get_data.fppized.o mod_obs.fppized .o get_idata.fppized.o mod_tides.fppized.o nf_fread3d.fppized.o nf_fread4d.fppized.o gls_corstep.fppized.o tkebc_im.fppized.o gls_prestep.fppized.o hmixing.fppized.o ini_fields.fppized.o set_depth.fppized.o t3dbc_im.fppized.o u2dbc_im.fppized.o u3dbc_im.fppized.o v2dbc_im.fppized.o v3dbc_im.fppized.o zetabc.fppized.o initial.fppized.o ini_adjust.fppized.o mod_fourdvar.fppized.o state_addition.fppized.o state_copy.fppized.o metrics.fppized.o ocean_coupler.fppized.o mod_coupler.fppized.o roms_export.fppized.o roms_import.fppized.o omega.fppized.o rho_eos.fppized.o mod_eoscoef.fppized.o set_massflux.fppized.o stiffness.fppized.o wpoints.fppized.o mod_storage.fppized.o interp_floats.fppized.o lmd_bkpp.fppized.o shapiro.fppized.o lmd_skpp.fppized.o lmd_swfrac.fppized.o lmd_vmix.fppized.o main2d.fppized.o dotproduct.fppized.o obc_adjust.fppized.o oi_update.fppized.o radiation_stress.fppized.o mod_diags.fppized.o set_avg.fppized.o mod_average.fppized.o set_tides.fppized.o set_vbc.fppized.o step2d.fppized.o obc_v olcons.fppized.o wetdry.fppized.o step_floats.fppized.o mod_floats.fppized.o vwalk_floats.fppized.o utility.fppized.o main3d.fppized.o biology.fppized.o my25_corstep.fppized.o my25_prestep.fppized.o rhs3d.fppized.o pre_step3d.fppized.o prsgrd.fppized.o t3dmix.fppized.o uv3dmix.fppized.o sediment.fppized.o sed_bed.fppized.o sed_bedload.fppized.o sed_fluxes.fppized.o sed_settling.fppized.o sed_surface.fppized.o set_zeta.fppized.o step3d_t.fppized.o mpdata_adiff.fppized.o step3d_uv.fppized.o wvelocity.fppized.o output.fppized.o set_data.fppized.o set_2dfld.fppized.o set_3dfld.fppized.o abort.fppized.o ocean_control.fppized.o back_cost.fppized.o cgradient.fppized.o nf_fread2d.fppized.o nf_fread2d_bry.fppized.o nf_fread3d_bry.fppized.o state_dotprod.fppized.o state_initialize.fppized.o state_scale.fppized.o cost_grad.fppized.o normalization.fppized.o nf_fwrite2d.fppized.o nf_fwrite3d.fppized.o white_noise.fppized.o nrutil.fppized.o packing.fppized.o posterior.fppized.o posterior_var.fppized.o state_product.fpp ized.o propagator.fppized.o random_ic.fppized.o sum_grad.fppized.o zeta_balance.fppized.o checkadj.fppized.o checkdefs.fppized.o checkerror.fppized.o checkvars.fppized.o close_io.fppized.o congrad.fppized.o def_avg.fppized.o def_var.fppized.o def_diags.fppized.o def_dim.fppized.o def_error.fppized.o def_floats.fppized.o def_gst.fppized.o def_hessian.fppized.o def_his.fppized.o def_impulse.fppized.o def_info.fppized.o def_ini.fppized.o def_lanczos.fppized.o def_mod.fppized.o def_norm.fppized.o def_rst.fppized.o def_station.fppized.o def_tides.fppized.o extract_obs.fppized.o extract_sta.fppized.o frc_weak.fppized.o gasdev.fppized.o get_2dfld.fppized.o get_2dfldr.fppized.o get_3dfld.fppized.o get_3dfldr.fppized.o get_bounds.fppized.o get_cycle.fppized.o get_date.fppized.o get_grid.fppized.o get_gst.fppized.o get_ngfld.fppized.o get_ngfldr.fppized.o get_state.fppized.o get_varcoords.fppized.o grid_coords.fppized.o interpolate.fppized.o ini_lanczos.fppized.o inp_par.fppized.o ran_state.fppized.o lubksb.fppized .o ludcmp.fppized.o mp_routines.fppized.o nf_fwrite2d_bry.fppized.o nf_fwrite3d_bry.fppized.o nf_fwrite4d.fppized.o obs_cost.fppized.o obs_depth.fppized.o obs_initial.fppized.o obs_read.fppized.o obs_write.fppized.o ran1.fppized.o regrid.fppized.o rep_matrix.fppized.o set_2dfldr.fppized.o set_3dfldr.fppized.o set_diags.fppized.o set_ngfld.fppized.o set_ngfldr.fppized.o set_scoord.fppized.o set_weights.fppized.o stats_modobs.fppized.o timers.fppized.o wrt_avg.fppized.o wrt_diags.fppized.o wrt_error.fppized.o wrt_floats.fppized.o wrt_gst.fppized.o wrt_hessian.fppized.o wrt_his.fppized.o wrt_impulse.fppized.o wrt_info.fppized.o wrt_ini.fppized.o wrt_rst.fppized.o wrt_station.fppized.o wrt_tides.fppized.o mod_arrays.fppized.o mod_nesting.fppized.o esmf_roms.fppized.o master.fppized.o -o sroms Stop make command: 2021-07-08 15:05:42 (1625771142.82494) Elapsed time for make command: 00:00:25 (25.3703649044037) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:05:42 (1625771142.82807) FPP: "specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I." O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:05:42 (1625771142.99021) Elapsed time for options command: 00:00:00 (0.162132978439331) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:05:42 (1625771142.99376) FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:05:43 (1625771143.18302) Elapsed time for compiler-version command: 00:00:00 (0.189260005950928) Compile for '654.roms_s' ended at: 2021-07-08 15:05:43 (1625771143) Elapsed compile for '654.roms_s': 00:00:26 (26) FPP: "specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I." O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/exe/specrand_fs_base.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/exe/specrand_fs_base.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 996.specrand_fs base x86_64-m64: (build_base_x86_64-m64.0000) [2021-07-08 15:05:43] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/build/build_base_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/build/build_base_x86_64-m64.0000/Makefile.spec': TUNE=base LABEL=x86_64-m64 NUMBER=996 NAME=specrand_fs SOURCES= main.c specrand-common/specrand.c EXEBASE=specrand_fs NEED_MATH= BENCHLANG=C BENCH_FLAGS = -Ispecrand-common CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -O2 -mtune=generic OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = specrand_fs basepeak = 0 benchdir = benchspec benchmark = 996.specrand_fs binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = specrand_fs_base.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = 1 force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 lognum = 042.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = specrand_fs nansupport = 0 need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 14:48:29 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4705.414 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 25% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 996 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune base --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune base --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1 --lognum 042.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = base specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/999.specrand_ir/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = base uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = rand.11.out Compile for '996.specrand_fs' started at: 2021-07-08 15:05:43 (1625771143) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:05:43 (1625771143.61336) rm -rf *.o rand.11.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf specrand_fs rm -rf specrand_fs.exe rm -rf core Stop make.clean command: 2021-07-08 15:05:43 (1625771143.77452) Elapsed time for make.clean command: 00:00:00 (0.161160945892334) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:05:43 (1625771143.77744) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o main.o -DSPEC -DNDEBUG -Ispecrand-common -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 main.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o specrand-common/specrand.o -DSPEC -DNDEBUG -Ispecrand-common -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 specrand-common/specrand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP main.o specrand-common/specrand.o -o specrand_fs Stop make command: 2021-07-08 15:05:44 (1625771144.03259) Elapsed time for make command: 00:00:00 (0.25515604019165) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:05:44 (1625771144.03547) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand-common -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand-common" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:05:44 (1625771144.20213) Elapsed time for options command: 00:00:00 (0.16666316986084) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:05:44 (1625771144.20547) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:05:44 (1625771144.36725) Elapsed time for compiler-version command: 00:00:00 (0.161783933639526) Compile for '996.specrand_fs' ended at: 2021-07-08 15:05:44 (1625771144) Elapsed compile for '996.specrand_fs': 00:00:01 (1) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand-common -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand-common" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Build successes for fpspeed: 603.bwaves_s(base), 607.cactuBSSN_s(base), 619.lbm_s(base), 621.wrf_s(base), 627.cam4_s(base), 628.pop2_s(base), 638.imagick_s(base), 644.nab_s(base), 649.fotonik3d_s(base), 654.roms_s(base), 996.specrand_fs(base) Build errors for fpspeed: None Build Complete runcpu finished at 2021-07-08 15:05:44; 1036 total seconds elapsed Info: file_tail(20496): file '/notnfs/vmakarov/spec2017/tmp/CPU2017.042/templogs/preenv.fpspeed.042.1.debug' was removed and will no longer be followed. No action is required. The log for this run is in /notnfs/vmakarov/spec2017/result/CPU2017.042.log runcpu finished at 2021-07-08 15:05:44; 1607 total seconds elapsed runcpu v5749 started at 2021-07-08 15:05:45 on "ton8" runcpu is: /notnfs/vmakarov/spec2017/bin/harness/runcpu runcpu: runcpu -a build -T peak -c spec.cfg -f intspeed fpspeed toolset: linux-x86_64 Locating benchmarks...found 47 benchmarks in 53 benchsets. Reading config file '/notnfs/vmakarov/spec2017/config/spec.cfg' 2 configurations selected: Action Benchmarks ------ ---------------------------------------------------------------------- build intspeed build fpspeed ------------------------------------------------------------------------------- Setting up environment for running intspeed... Starting runcpu for intspeed... Running "specperl /notnfs/vmakarov/spec2017/bin/sysinfo" to gather system information. sysinfo: r5797 of 2017-06-14 (96c45e4568ad54c135fd618bcc091c0f) sysinfo: Getting system information for Linux... sysinfo: ...getting CPU info sysinfo: ...getting info from numactl sysinfo: ...getting memory info sysinfo: ...getting OS info sysinfo: ...getting disk info sysinfo: ...trying to get DIMM info from dmidecode Retrieving flags file (/notnfs/vmakarov/spec2017/config/flags/gcc.xml)... Verbosity = 5 Action = build Tune = peak Label = x86_64-m64 Size = refspeed benchmarks= 600.perlbench_s,602.gcc_s,605.mcf_s,620.omnetpp_s,623.xalancbmk_s,625.x264_s,631.deepsjeng_s,641.leela_s,648.exchange2_s,657.xz_s,998.specrand_is outputs = txt,html,cfg,pdf,csv username = vmakarov Environment settings: LD_LIBRARY_PATH = "%{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64" Benchmarks selected: 600.perlbench_s, 602.gcc_s, 605.mcf_s, 620.omnetpp_s, 623.xalancbmk_s, 625.x264_s, 631.deepsjeng_s, 641.leela_s, 648.exchange2_s, 657.xz_s, 998.specrand_is When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/exe/perlbench_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/exe/sgcc_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/exe/mcf_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/exe/omnetpp_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/exe/xalancbmk_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/exe/imagevalidate_625_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/exe/ldecod_s_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/exe/x264_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/exe/deepsjeng_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/exe/leela_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/exe/exchange2_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Checksum mismatch for executables (stored: 760f9c864c60a3783a53adfad70eac4af7c2243730e1916ba20799af7759b324) When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/exe/specrand_is_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/exe/perlbench_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/exe/sgcc_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/exe/mcf_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/exe/omnetpp_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/exe/xalancbmk_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/exe/imagevalidate_625_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/exe/ldecod_s_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/exe/x264_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/exe/deepsjeng_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/exe/leela_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/exe/exchange2_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Checksum mismatch for executables (stored: 760f9c864c60a3783a53adfad70eac4af7c2243730e1916ba20799af7759b324) When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/exe/specrand_is_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Compiling Binaries ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/exe/perlbench_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/exe/perlbench_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 600.perlbench_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:05:46] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename XSUB.h)): XSUB.h perlapi.h $(addsuffix $(OBJ), $(basename av.c)): av.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename config.h)): config.h perl-orig-config.h spec_config.h $(addsuffix $(OBJ), $(basename cpan/Digest-SHA/src/sha.c)): cpan/Digest-SHA/src/sha.c cpan/Digest-SHA/src/sha.h cpan/Digest-SHA/src/sha64bit.c cpan/Digest-SHA/src/sha64bit.h $(addsuffix $(OBJ), $(basename deb.c)): deb.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename doio.c)): doio.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename doop.c)): doop.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename dump.c)): dump.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h mg_names.inc op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regcharclass.h regcomp.h regexp.h regnodes.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Cwd/Cwd.c)): ext/Cwd/Cwd.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Data/Dumper/Dumper.c)): ext/Data/Dumper/Dumper.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Devel/Peek/Peek.c)): ext/Devel/Peek/Peek.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Digest/MD5/MD5.c)): ext/Digest/MD5/MD5.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Digest/SHA/SHA.c)): ext/Digest/SHA/SHA.c EXTERN.h XSUB.h av.h config.h cop.h cpan/Digest-SHA/src/sha.c cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/File-Glob/Glob.c)): ext/File-Glob/Glob.c av.h config.h cop.h cv.h embed.h embedvar.h ext/File-Glob/const-c.inc form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/IO/IO.c)): ext/IO/IO.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h ext/IO/poll.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/IO/poll.c)): ext/IO/poll.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h ext/IO/poll.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/MIME/Base64/Base64.c)): ext/MIME/Base64/Base64.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Storable/Storable.c)): ext/Storable/Storable.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Sys/Hostname/Hostname.c)): ext/Sys/Hostname/Hostname.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/Time/HiRes/HiRes.c)): ext/Time/HiRes/HiRes.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h ext/Time/HiRes/const-c.inc form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/attrs/attrs.c)): ext/attrs/attrs.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename ext/re/re_comp.c)): ext/re/re_comp.c regcomp.c $(addsuffix $(OBJ), $(basename ext/re/re_exec.c)): ext/re/re_exec.c invlist_inline.h $(addsuffix $(OBJ), $(basename globals.c)): globals.c INTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename gv.c)): gv.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h overload.inc pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename hv.c)): hv.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename iperlsys.h)): iperlsys.h perlio.h $(addsuffix $(OBJ), $(basename locale.c)): locale.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename mg.c)): mg.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename non-core-ext/HTML/Parser/Parser.c)): non-core-ext/HTML/Parser/Parser.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h non-core-ext/HTML/Parser/hctype.h non-core-ext/HTML/Parser/hparser.c non-core-ext/HTML/Parser/hparser.h non-core-ext/HTML/Parser/parser-util.c non-core-ext/HTML/Parser/tokenpos.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename non-core-ext/HTML/Parser/hparser.c)): non-core-ext/HTML/Parser/hparser.c hctype.h tokenpos.h $(addsuffix $(OBJ), $(basename numeric.c)): numeric.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename op.c)): op.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h keywords.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pad.c)): pad.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename perl.c)): perl.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename perlapi.c)): perlapi.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename perlhost.h)): perlhost.h iperlsys.h perlio.h vdir.h vmem.h $(addsuffix $(OBJ), $(basename perlio.c)): perlio.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perliol.h perlsdio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename perlmain.c)): perlmain.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename perly.c)): perly.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pp.c)): pp.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h keywords.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h reentr.h regexp.h scope.h spec_config.h specrand/specrand.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pp_ctl.c)): pp_ctl.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pp_hot.c)): pp_hot.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pp_pack.c)): pp_pack.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h packsizetables.inc pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pp_sort.c)): pp_sort.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h specrand/specrand.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename pp_sys.c)): pp_sys.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h reentr.h regexp.h scope.h spec_config.h sv.h thread.h time64.c utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename proto.h)): proto.h pp_proto.h $(addsuffix $(OBJ), $(basename reentr.c)): reentr.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h reentr.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename regcomp.c)): regcomp.c EXTERN.h INTERN.h av.h config.h cop.h cv.h dquote.c embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h invlist_inline.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regcharclass.h regcomp.h regexp.h regnodes.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename regexec.c)): regexec.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h invlist_inline.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regcharclass.h regcomp.h regexp.h regnodes.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename run.c)): run.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename scope.c)): scope.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename sv.c)): sv.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regcharclass.h regcomp.h regexp.h regnodes.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename taint.c)): taint.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename toke.c)): toke.c EXTERN.h av.h config.h cop.h cv.h dquote.c embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h keywords.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename universal.c)): universal.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perliol.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h vxs.inc warnings.h $(addsuffix $(OBJ), $(basename utf8.c)): utf8.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h invlist_inline.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename util.c)): util.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h specrand/specrand.h sv.h thread.h utf8.h util.h uudmap.h vutil.c warnings.h $(addsuffix $(OBJ), $(basename win32/perllib.c)): win32/perllib.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename win32/win32.c)): win32/win32.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h win32/win32iop.h $(addsuffix $(OBJ), $(basename win32/win32io.c)): win32/win32io.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perliol.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h $(addsuffix $(OBJ), $(basename win32/win32sck.c)): win32/win32sck.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h win32/win32iop.h $(addsuffix $(OBJ), $(basename win32/win32thread.c)): win32/win32thread.c EXTERN.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h win32/win32.h win32/win32iop.h $(addsuffix $(OBJ), $(basename xsutils.c)): xsutils.c EXTERN.h XSUB.h av.h config.h cop.h cv.h embed.h embedvar.h form.h gv.h handy.h hv.h intrpvar.h iperlsys.h mg.h op.h opcode.h opnames.h overload.h pad.h parser.h patchlevel.h perl-orig-config.h perl.h perlapi.h perlio.h perlvars.h perly.h pp.h pp_proto.h proto.h regexp.h scope.h spec_config.h sv.h thread.h utf8.h util.h uudmap.h warnings.h Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=600 NAME=perlbench_s SOURCES= av.c caretx.c deb.c doio.c doop.c dump.c globals.c gv.c hv.c \ keywords.c locale.c mg.c numeric.c op.c pad.c perl.c perlapi.c perlio.c \ perlmain.c perly.c pp.c pp_ctl.c pp_hot.c pp_pack.c pp_sort.c pp_sys.c \ regcomp.c regexec.c run.c scope.c sv.c taint.c toke.c universal.c utf8.c \ util.c reentr.c mro_core.c mathoms.c specrand/specrand.c \ dist/PathTools/Cwd.c dist/Data-Dumper/Dumper.c ext/Devel-Peek/Peek.c \ cpan/Digest-MD5/MD5.c cpan/Digest-SHA/SHA.c DynaLoader.c dist/IO/IO.c \ dist/IO/poll.c cpan/MIME-Base64/Base64.c Opcode.c \ dist/Storable/Storable.c ext/Sys-Hostname/Hostname.c \ cpan/Time-HiRes/HiRes.c ext/XS-Typemap/stdio.c \ ext/attributes/attributes.c cpan/HTML-Parser/Parser.c ext/mro/mro.c \ ext/re/re.c ext/re/re_comp.c ext/re/re_exec.c ext/arybase/arybase.c \ ext/PerlIO-scalar/scalar.c ext/PerlIO-via/via.c ext/File-Glob/bsd_glob.c \ ext/File-Glob/Glob.c ext/Hash-Util/Util.c \ ext/Hash-Util-FieldHash/FieldHash.c \ ext/Tie-Hash-NamedCapture/NamedCapture.c \ cpan/Scalar-List-Utils/ListUtil.c EXEBASE=perlbench_s NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix PORTABILITY = -DSPEC_LINUX_X64 SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = perlbench_s basepeak = 0 benchdir = benchspec benchmark = 600.perlbench_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = no changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = perlbench_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = yes force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 lognum = 043.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = perlbench_s nansupport = no need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:05:45 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.663 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 600 obiwan = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 --lognum 043.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/500.perlbench_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.906000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = diffmail.2.550.15.24.23.100.out perfect.b.3.out scrabbl.out splitmail.535.13.25.24.1091.1.out suns.out validate Compile for '600.perlbench_s' started at: 2021-07-08 15:05:46 (1625771146) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:05:46 (1625771146.34039) rm -rf *.o diffmail.2.550.15.24.23.100.out perfect.b.3.out scrabbl.out splitmail.535.13.25.24.1091.1.out suns.out validate find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf perlbench_s rm -rf perlbench_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:05:46 (1625771146.50279) Elapsed time for make.clean command: 00:00:00 (0.162393093109131) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:05:46 (1625771146.50564) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o av.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 av.c In file included from av.c:24: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o caretx.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 caretx.c In file included from caretx.c:32: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o deb.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 deb.c In file included from deb.c:25: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o doio.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 doio.c In file included from doio.c:27: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o doop.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 doop.c In file included from doop.c:24: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dump.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dump.c In file included from dump.c:29: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o globals.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 globals.c In file included from globals.c:32: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gv.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gv.c In file included from gv.c:36: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o hv.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 hv.c In file included from hv.c:35: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o keywords.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 keywords.c In file included from keywords.c:9: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o locale.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 locale.c In file included from locale.c:37: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mg.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mg.c In file included from mg.c:43: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o numeric.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 numeric.c In file included from numeric.c:30: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o op.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 op.c In file included from op.c:103: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pad.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pad.c In file included from pad.c:147: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o perl.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 perl.c In file included from perl.c:33: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o perlapi.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 perlapi.c In file included from perlapi.c:28: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o perlio.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 perlio.c In file included from perlio.c:43: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o perlmain.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 perlmain.c In file included from perlmain.c:43: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o perly.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 perly.c In file included from perly.c:26: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pp.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pp.c In file included from pp.c:28: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pp_ctl.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pp_ctl.c In file included from pp_ctl.c:35: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pp_hot.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pp_hot.c In file included from pp_hot.c:36: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pp_pack.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pp_pack.c In file included from pp_pack.c:33: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pp_sort.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pp_sort.c In file included from pp_sort.c:31: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pp_sys.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pp_sys.c In file included from pp_sys.c:31: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regcomp.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regcomp.c In file included from regcomp.c:75: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regexec.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regexec.c In file included from regexec.c:78: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o run.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 run.c In file included from run.c:26: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o scope.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 scope.c In file included from scope.c:27: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sv.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sv.c In file included from sv.c:32: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o taint.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 taint.c In file included from taint.c:24: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o toke.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 toke.c In file included from toke.c:40: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o universal.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 universal.c In file included from universal.c:30: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o utf8.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 utf8.c In file included from utf8.c:33: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o util.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 util.c In file included from util.c:30: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reentr.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 reentr.c In file included from reentr.c:33: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mro_core.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mro_core.c In file included from mro_core.c:30: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mathoms.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mathoms.c In file included from mathoms.c:46: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o specrand/specrand.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 specrand/specrand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dist/PathTools/Cwd.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dist/PathTools/Cwd.c In file included from dist/PathTools/Cwd.c:21: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dist/Data-Dumper/Dumper.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dist/Data-Dumper/Dumper.c In file included from dist/Data-Dumper/Dumper.c:16: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/Devel-Peek/Peek.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/Devel-Peek/Peek.c In file included from ext/Devel-Peek/Peek.c:16: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpan/Digest-MD5/MD5.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpan/Digest-MD5/MD5.c In file included from cpan/Digest-MD5/MD5.c:52: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpan/Digest-SHA/SHA.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpan/Digest-SHA/SHA.c In file included from cpan/Digest-SHA/SHA.c:16: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o DynaLoader.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 DynaLoader.c In file included from DynaLoader.c:16: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dist/IO/IO.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dist/IO/IO.c In file included from dist/IO/IO.c:25: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dist/IO/poll.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dist/IO/poll.c In file included from dist/IO/poll.c:14: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpan/MIME-Base64/Base64.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpan/MIME-Base64/Base64.c In file included from cpan/MIME-Base64/Base64.c:42: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Opcode.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 Opcode.c In file included from Opcode.c:15: perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dist/Storable/Storable.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dist/Storable/Storable.c In file included from dist/Storable/Storable.c:26: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/Sys-Hostname/Hostname.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/Sys-Hostname/Hostname.c In file included from ext/Sys-Hostname/Hostname.c:13: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpan/Time-HiRes/HiRes.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpan/Time-HiRes/HiRes.c In file included from cpan/Time-HiRes/HiRes.c:31: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/XS-Typemap/stdio.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/XS-Typemap/stdio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/attributes/attributes.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/attributes/attributes.c In file included from ext/attributes/attributes.c:30: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpan/HTML-Parser/Parser.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpan/HTML-Parser/Parser.c In file included from cpan/HTML-Parser/Parser.c:35: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/mro/mro.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/mro/mro.c In file included from ext/mro/mro.c:13: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/re/re.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/re/re.c In file included from ext/re/re.c:16: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/re/re_comp.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/re/re_comp.c In file included from ext/re/../../regcomp.c:75, from ext/re/re_comp.c:26: ext/re/../../perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/re/re_exec.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/re/re_exec.c In file included from ext/re/re_exec.c:78: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/arybase/arybase.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/arybase/arybase.c In file included from ext/arybase/arybase.c:17: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/PerlIO-scalar/scalar.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/PerlIO-scalar/scalar.c In file included from ext/PerlIO-scalar/scalar.c:16: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/PerlIO-via/via.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/PerlIO-via/via.c In file included from ext/PerlIO-via/via.c:15: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/File-Glob/bsd_glob.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/File-Glob/bsd_glob.c In file included from ext/File-Glob/bsd_glob.c:69: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/File-Glob/Glob.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/File-Glob/Glob.c In file included from ext/File-Glob/Glob.c:17: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/Hash-Util/Util.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/Hash-Util/Util.c In file included from ext/Hash-Util/Util.c:13: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/Hash-Util-FieldHash/FieldHash.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/Hash-Util-FieldHash/FieldHash.c In file included from ext/Hash-Util-FieldHash/FieldHash.c:13: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ext/Tie-Hash-NamedCapture/NamedCapture.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ext/Tie-Hash-NamedCapture/NamedCapture.c In file included from ext/Tie-Hash-NamedCapture/NamedCapture.c:15: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpan/Scalar-List-Utils/ListUtil.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpan/Scalar-List-Utils/ListUtil.c In file included from cpan/Scalar-List-Utils/ListUtil.c:21: ./perl.h:3621:9: warning: undefining "__has_builtin" 3621 | # undef __has_builtin | ^~~~~~~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing av.o caretx.o deb.o doio.o doop.o dump.o globals.o gv.o hv.o keywords.o locale.o mg.o numeric.o op.o pad.o perl.o perlapi.o perlio.o perlmain.o perly.o pp.o pp_ctl.o pp_hot.o pp_pack.o pp_sort.o pp_sys.o regcomp.o regexec.o run.o scope.o sv.o taint.o toke.o universal.o utf8.o util.o reentr.o mro_core.o mathoms.o specrand/specrand.o dist/PathTools/Cwd.o dist/Data-Dumper/Dumper.o ext/Devel-Peek/Peek.o cpan/Digest-MD5/MD5.o cpan/Digest-SHA/SHA.o DynaLoader.o dist/IO/IO.o dist/IO/poll.o cpan/MIME-Base64/Base64.o Opcode.o dist/Storable/Storable.o ext/Sys-Hostname/Hostname.o cpan/Time-HiRes/HiRes.o ext/XS-Typemap/stdio.o ext/attributes/attributes.o cpan/HTML-Parser/Parser.o ext/mro/mro.o ext/re/re.o ext/re/re_comp.o ext/re/re_exec.o ext/arybase/arybase.o ext/PerlIO-scalar/scalar.o ext/PerlIO-vi a/via.o ext/File-Glob/bsd_glob.o ext/File-Glob/Glob.o ext/Hash-Util/Util.o ext/Hash-Util-FieldHash/FieldHash.o ext/Tie-Hash-NamedCapture/NamedCapture.o cpan/Scalar-List-Utils/ListUtil.o -lm -o perlbench_s lto-wrapper: warning: using serial compilation of 54 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information In function ‘shabytes’, inlined from ‘shawrite’ at cpan/Digest-SHA/src/sha.c:388:10, inlined from ‘shawrite’ at cpan/Digest-SHA/src/sha.c:377:13, inlined from ‘XS_Digest__SHA_sha1’ at cpan/Digest-SHA/SHA.c:374:4: cpan/Digest-SHA/src/sha.c:354:17: warning: ‘memcpy’ writing 16384 bytes into a region of size 440 overflows the destination [-Wstringop-overflow=] 354 | Copy(bitstr, s->block+offset, NBYTES(bitcnt), char); | ^ cpan/Digest-SHA/src/sha.c: In function ‘XS_Digest__SHA_sha1’: cpan/Digest-SHA/SHA.c:364:13: note: at offset [112, 552] into destination object ‘sha’ of size 552 364 | SHA sha; | ^ Stop make command: 2021-07-08 15:06:39 (1625771199.42498) Elapsed time for make command: 00:00:52 (52.9193420410156) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:06:39 (1625771199.4278) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX_X64" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: PORTABILITY="-DSPEC_LINUX_X64" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options command: 2021-07-08 15:06:39 (1625771199.58934) Elapsed time for options command: 00:00:00 (0.161535024642944) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:06:39 (1625771199.59315) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:06:39 (1625771199.77748) Elapsed time for compiler-version command: 00:00:00 (0.184331893920898) Compile for '600.perlbench_s' ended at: 2021-07-08 15:06:39 (1625771199) Elapsed compile for '600.perlbench_s': 00:00:53 (53) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX_X64" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: PORTABILITY="-DSPEC_LINUX_X64" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/exe/sgcc_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/exe/sgcc_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 602.gcc_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:06:40] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename alias.c)): alias.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h defaults.h df.h double-int.h emit-rtl.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-alias.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h ipa-type-escape.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h s bitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename alloc-pool.c)): alloc-pool.c alloc-pool.h auto-host.h config.h filenames.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/safe-ctype.h system.h $(addsuffix $(OBJ), $(basename alloca.c)): alloca.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename argv.c)): argv.c auto-host.h config.h include/ansidecl.h include/libiberty.h include/safe-ctype.h $(addsuffix $(OBJ), $(basename attribs.c)): attribs.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h double-int.h filenames.h fixed-value.h flags.h gcc-plugin.h genrtl.h ggc.h gtype-desc.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h plugin.def plugin.h real.h reg-notes.def rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename auto-inc-dec.c)): auto-inc-dec.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm. h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename bb-reorder.c)): bb-reorder.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-pr eds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename bid2dpd_dpd2bid.c)): bid2dpd_dpd2bid.c bid-dpd.h bid2dpd_dpd2bid.h $(addsuffix $(OBJ), $(basename bitmap.c)): bitmap.c alias.h auto-host.h bitmap.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h genrtl.h ggc.h gt-bitmap.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h vec.h $(addsuffix $(OBJ), $(basename bt-load.c)): bt-load.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm -preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename builtins.c)): builtins.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h r ecog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-mudflap.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def typeclass.h value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-aux-info.c)): c-aux-info.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-tree.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-common.c)): c-common.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtin-attrs.def builtin-types.def builtins.def bversion.h c-common.def c-common.h c-pragma.h c-tree.h cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-c-common.h gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target-def.h target.h targhooks.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-inline.h tree-iterator.h tree-mudflap.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-convert.c)): c-convert.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-tree.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h convert.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-cppbuiltin.c)): c-cppbuiltin.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-pragma.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h debug.h defaults.h double-int.h except.h filenames.h flags.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h real.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h vecprim.h version.h $(addsuffix $(OBJ), $(basename c-decl.c)): c-decl.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-lang.h c-pragma.h c-tree.h cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-c-decl.h gtype-desc.h hard-reg-set.h highlev-plugin-common.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h langhooks-def.h langhooks.h libfuncs.h line-map.h machmode.h mini-gm p.h mode-classes.def omp-builtins.def options.h opts.h output.h plugin.def plugin.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-inline.h tree-iterator.h tree-mudflap.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-dump.c)): c-dump.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-tree.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-pass.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-errors.c)): c-errors.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-tree.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-format.c)): c-format.c alias.h all-tree.def alloc-pool.h auto-host.h builtins.def bversion.h c-common.def c-common.h c-format.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h intl.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-gimplify.c)): c-gimplify.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-pretty-print.h c-tree.h cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def r tl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-lang.c)): c-lang.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-objc-common.h c-pragma.h c-tree.h cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-c.h gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree-inl ine.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-lex.c)): c-lex.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-pragma.h c-tree.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h genrtl.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pretty-print.h real.h reg-notes.def rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-objc-common.c)): c-objc-common.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-objc-common.h c-pretty-print.h c-tree.h cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h intl.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree-inline.h tree-mudflap.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-omp.c)): c-omp.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-opts.c)): c-opts.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-pragma.h c-tree.h cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cppdefault.h cpplib.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h incpath.h input.h insn-constants.h insn-flags.h insn-modes.h intl.h langhooks.h line-map.h machmode.h mini-gmp.h mkdeps.h mode-classes.def omp-builtins.def options.h opts.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system .h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-inline.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-parser.c)): c-parser.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-pragma.h c-tree.h cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h except.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h genrtl.h ggc.h gt-c-parser.h gtype-desc.h hard-reg-set.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h plugin.def plugin.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def r tl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-pch.c)): c-pch.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-pragma.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h debug.h defaults.h double-int.h filenames.h flags.h ggc.h gtype-desc.h hosthooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h output.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h version.h $(addsuffix $(OBJ), $(basename c-ppoutput.c)): c-ppoutput.c alias.h all-tree.def auto-host.h builtins.def c-common.def c-common.h c-pragma.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpp-id-data.h cpplib.h defaults.h double-int.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h internal.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-pragma.c)): c-pragma.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-pragma.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h genrtl.h ggc.h gt-c-pragma.h gtype-desc.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h output.h plugin.def plugin.h pretty-print.h real.h reg-notes.def rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tr ee.def tree.h treestruct.def vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-pretty-print.c)): c-pretty-print.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def c-common.h c-pretty-print.h c-tree.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h real.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree-iterator.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename c-semantics.c)): c-semantics.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tre e-check.h tree-inline.h tree-iterator.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename c-typeck.c)): c-typeck.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def c-common.h c-lang.h c-tree.h cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-iterator.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename caller-save.c)): caller-save.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-caller-save.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar .h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename calls.c)): calls.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h debug.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def regs.h r tl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cc1-checksum.c)): cc1-checksum.c auto-host.h config.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h system.h $(addsuffix $(OBJ), $(basename cfg.c)): cfg.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.d ef timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfganal.c)): cfganal.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgbuild.c)): cfgbuild.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgcleanup.c)): cfgcleanup.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h dbgcnt.def dbgcnt.h dce.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h t arget.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgexpand.c)): cfgexpand.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h ssaexpand.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfghooks.c)): cfghooks.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flo w.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfglayout.c)): cfglayout.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-cfglayout.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def va rray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgloop.c)): cfgloop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tre e-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgloopanal.c)): cfgloopanal.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h graphds.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgloopmanip.c)): cfgloopmanip.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa- alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cfgrtl.c)): cfgrtl.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cgraph.c)): cgraph.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-cgraph.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics .h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cgraphbuild.c)): cgraphbuild.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h intl.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruc t.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cgraphunit.c)): cgraphunit.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-cgraphunit.h gtype-desc.h hard-reg-set.h highlev-plugin-common.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-prop.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h param s.def params.h plugin.def plugin.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename combine-stack-adj.c)): combine-stack-adj.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h t oplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename combine.c)): combine.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h rtlhooks-def.h sbitmap.h statistics.h symtab.h sync-bui ltins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename concat.c)): concat.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename convert.c)): convert.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h convert.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h real.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename coverage.c)): coverage.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.c gcov-io.h gcov-iov.h genrtl.h ggc.h gt-coverage.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-iterator.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cp-demangle.c)): cp-demangle.c auto-host.h config.h cp-demangle.h include/ansidecl.h include/demangle.h include/libiberty.h $(addsuffix $(OBJ), $(basename cp-demint.c)): cp-demint.c auto-host.h config.h cp-demangle.h include/ansidecl.h include/demangle.h include/libiberty.h $(addsuffix $(OBJ), $(basename cplus-dem.c)): cplus-dem.c auto-host.h config.h include/ansidecl.h include/demangle.h include/libiberty.h include/safe-ctype.h $(addsuffix $(OBJ), $(basename cpp_charset.c)): cpp_charset.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h ucnid.h $(addsuffix $(OBJ), $(basename cpp_directives-only.c)): cpp_directives-only.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cpp_directives.c)): cpp_directives.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h mkdeps.h symtab.h $(addsuffix $(OBJ), $(basename cpp_errors.c)): cpp_errors.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cpp_expr.c)): cpp_expr.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cpp_files.c)): cpp_files.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h md5.h mkdeps.h symtab.h $(addsuffix $(OBJ), $(basename cpp_identifiers.c)): cpp_identifiers.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cpp_init.c)): cpp_init.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h localedir.h mkdeps.h symtab.h $(addsuffix $(OBJ), $(basename cpp_lex.c)): cpp_lex.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cpp_line-map.c)): cpp_line-map.c cpp_config.h cpp_system.h filenames.h include/ansidecl.h include/libiberty.h include/safe-ctype.h line-map.h $(addsuffix $(OBJ), $(basename cpp_macro.c)): cpp_macro.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cpp_mkdeps.c)): cpp_mkdeps.c cpp_config.h cpp_system.h filenames.h include/ansidecl.h include/libiberty.h include/safe-ctype.h mkdeps.h $(addsuffix $(OBJ), $(basename cpp_pch.c)): cpp_pch.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h mkdeps.h symtab.h $(addsuffix $(OBJ), $(basename cpp_symtab.c)): cpp_symtab.c cpp_config.h cpp_system.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h symtab.h $(addsuffix $(OBJ), $(basename cpp_traditional.c)): cpp_traditional.c cpp-id-data.h cpp_config.h cpp_system.h cpplib.h filenames.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h internal.h line-map.h symtab.h $(addsuffix $(OBJ), $(basename cppdefault.c)): cppdefault.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cppdefault.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h system.h tm.h $(addsuffix $(OBJ), $(basename crc32.c)): crc32.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename cse.c)): cse.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h rtlhooks-def.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename cselib.c)): cselib.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h defaults.h double-int.h emit-rtl.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-cselib.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-pred s.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename dbgcnt.c)): dbgcnt.c alias.h auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h double-int.h errors.h filenames.h fixed-value.h genrtl.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h output.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h vec.h $(addsuffix $(OBJ), $(basename dbxout.c)): dbxout.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbxout.h debug.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gstab.h gt-dbxout.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h stab.def statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h t m_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename dce.c)): dce.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h dbgcnt.def dbgcnt.h dce.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray. h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ddg.c)): ddg.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h ddg.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar .h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename debug.c)): debug.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h system.h tm.h $(addsuffix $(OBJ), $(basename decContext.c)): decContext.c auto-host.h config.h dconfig.h decCommonSymbols.h decContext.h decContextSymbols.h decDPDSymbols.h decNumberLocal.h gstdint.h include/ansidecl.h $(addsuffix $(OBJ), $(basename decNumber.c)): decNumber.c auto-host.h config.h dconfig.h decCommonSymbols.h decContext.h decContextSymbols.h decDPDSymbols.h decNumber.h decNumberLocal.h decNumberSymbols.h gstdint.h include/ansidecl.h $(addsuffix $(OBJ), $(basename decimal128.c)): decimal128.c auto-host.h bid-dpd.h config.h dconfig.h decCommonSymbols.h decContext.h decContextSymbols.h decDPDSymbols.h decNumber.h decNumberLocal.h decNumberSymbols.h decimal128.h decimal128Local.h decimal128Symbols.h gstdint.h include/ansidecl.h $(addsuffix $(OBJ), $(basename decimal32.c)): decimal32.c auto-host.h bid-dpd.h config.h dconfig.h decCommonSymbols.h decContext.h decContextSymbols.h decDPDSymbols.h decNumber.h decNumberLocal.h decNumberSymbols.h decimal32.h decimal32Symbols.h gstdint.h include/ansidecl.h $(addsuffix $(OBJ), $(basename decimal64.c)): decimal64.c auto-host.h bid-dpd.h config.h dconfig.h decCommonSymbols.h decContext.h decContextSymbols.h decDPD.h decDPDSymbols.h decNumber.h decNumberLocal.h decNumberSymbols.h decimal64.h decimal64Symbols.h gstdint.h include/ansidecl.h $(addsuffix $(OBJ), $(basename df-byte-scan.c)): df-byte-scan.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h filenames.h fixed-value.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename df-core.c)): df-core.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h tree.def tree.h treestr uct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename df-problems.c)): df-problems.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dce.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def v array.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename df-scan.c)): df-scan.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target-def.h target.h targhooks.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h t ree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename dfp.c)): dfp.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h decContext.h decContextSymbols.h decNumber.h decNumberSymbols.h decimal128.h decimal128Local.h decimal128Symbols.h decimal32.h decimal32Symbols.h decimal64.h decimal64Symbols.h defaults.h dfp.h double-int.h filenames.h gstdint.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h real.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename diagnostic.c)): diagnostic.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h gcc-plugin.h highlev-plugin-common.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h intl.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h plugin.def plugin.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h version.h $(addsuffix $(OBJ), $(basename dojump.c)): dojump.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-dojump.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vec prim.h $(addsuffix $(OBJ), $(basename dominance.c)): dominance.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h et-forest.h filenames.h fixed-value.h function.h genrtl.h graphds.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename domwalk.c)): domwalk.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h domwalk.h double-int.h filenames.h function.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename double-int.c)): double-int.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename dse.c)): dse.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h dse.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h t ree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename dwarf2asm.c)): dwarf2asm.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h dwarf2asm.h filenames.h fixed-value.h flags.h genrtl.h ggc.h gt-dwarf2asm.h gtype-desc.h hwint.h include/ansidecl.h include/dwarf2.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h real.h reg-notes.def rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename dwarf2out.c)): dwarf2out.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h dwarf2asm.h dwarf2out.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-dwarf2out.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/dwarf2.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h libfuncs.h line-map.h machmode.h md5.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h version.h $(addsuffix $(OBJ), $(basename dyn-string.c)): dyn-string.c auto-host.h config.h include/ansidecl.h include/dyn-string.h include/libiberty.h $(addsuffix $(OBJ), $(basename ebitmap.c)): ebitmap.c alias.h auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h ebitmap.h filenames.h fixed-value.h flags.h genrtl.h hwint.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h system.h tm.h vec.h $(addsuffix $(OBJ), $(basename emit-rtl.c)): emit-rtl.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-emit-rtl.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.d ef timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename et-forest.c)): et-forest.c alloc-pool.h auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h et-forest.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h system.h tm.h $(addsuffix $(OBJ), $(basename except.c)): except.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h dwarf2asm.h dwarf2out.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-except.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/dwarf2.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h intl.h ipa-reference.h langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename explow.c)): explow.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-explow.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h vecprim.h $(addsuffix $(OBJ), $(basename expmed.c)): expmed.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h predict.def predict.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree- check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename expr.c)): expr.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-expr.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer- set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h ssaexpand.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def typeclass.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename fdmatch.c)): fdmatch.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename fibheap.c)): fibheap.c auto-host.h config.h include/ansidecl.h include/fibheap.h include/libiberty.h $(addsuffix $(OBJ), $(basename filename_cmp.c)): filename_cmp.c auto-host.h config.h filenames.h include/ansidecl.h include/safe-ctype.h $(addsuffix $(OBJ), $(basename final.c)): final.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def conditions.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h dbxout.h debug.h defaults.h df.h double-int.h dwarf2out.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h ou tput.h params.def params.h pointer-set.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename fixed-value.c)): fixed-value.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h real.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename floatformat.c)): floatformat.c auto-host.h config.h include/ansidecl.h include/floatformat.h include/libiberty.h $(addsuffix $(OBJ), $(basename fold-const.c)): fold-const.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h langhooks.h line-map.h machmode.h md5.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p .h toplev.h tree-check.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename fopen_unlocked.c)): fopen_unlocked.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename function.c)): function.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-function.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h real.h recog.h reg-notes.def regs .h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename fwprop.c)): fwprop.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h domwalk.h double-int.h emit-rtl.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree -pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gcse.c)): gcse.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-gcse.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def syste m.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename genrtl.c)): genrtl.c alias.h auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h genrtl.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h vec.h $(addsuffix $(OBJ), $(basename getopt.c)): getopt.c auto-host.h config.h include/ansidecl.h include/getopt.h $(addsuffix $(OBJ), $(basename getopt1.c)): getopt1.c auto-host.h config.h include/ansidecl.h include/getopt.h $(addsuffix $(OBJ), $(basename getpwd.c)): getpwd.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename getruntime.c)): getruntime.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename ggc-common.c)): ggc-common.c auto-host.h bversion.h config.h coretypes.h filenames.h gcc-plugin.h ggc.h gtype-desc.h highlev-plugin-common.h hooks.h hosthooks-def.h hosthooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/safe-ctype.h input.h insn-modes.h line-map.h machmode.h mode-classes.def params.def params.h plugin.def plugin.h statistics.h system.h toplev.h vec.h $(addsuffix $(OBJ), $(basename ggc-page.c)): ggc-page.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h plugin.def plugin.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h s ymtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gimple-iterator.c)): gimple-iterator.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gimple-low.c)): gimple-low.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h sta tistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gimple-pretty-print.c)): gimple-pretty-print.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias. h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gimple.c)): gimple.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gt-gimple.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/demangle.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias .h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gimplify.c)): gimplify.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-gimplify.h gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h p retty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graph.c)): graph.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h graph.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphds.c)): graphds.c auto-host.h bitmap.h config.h coretypes.h filenames.h graphds.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h statistics.h system.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-blocking.c)): graphite-blocking.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def r tl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-clast-to-gimple.c)): graphite-clast-to-gimple.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes. def rtl.def rtl.h sbitmap.h sese.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-dependences.c)): graphite-dependences.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl. def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-interchange.c)): graphite-interchange.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes .def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-poly.c)): graphite-poly.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def r tl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-ppl.c)): graphite-ppl.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h statistics.h system.h tm.h $(addsuffix $(OBJ), $(basename graphite-scop-detection.c)): graphite-scop-detection.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.de f rtl.def rtl.h sbitmap.h sese.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite-sese-to-poly.c)): graphite-sese-to-poly.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rt l.def rtl.h sbitmap.h sese.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename graphite.c)): graphite.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h sese.h statist ics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename gtype-desc.c)): gtype-desc.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpp-id-data.h cpplib.h cselib.h debug.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-addr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-prop.h ipa-reference.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h tree-check.h tree-chrec.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename haifa-sched.c)): haifa-sched.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int.h statistics.h symtab.h sync-builtins.def sy stem.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename hashtab.c)): hashtab.c auto-host.h config.h include/ansidecl.h include/hashtab.h include/libiberty.h $(addsuffix $(OBJ), $(basename hex.c)): hex.c auto-host.h config.h include/ansidecl.h include/libiberty.h include/safe-ctype.h $(addsuffix $(OBJ), $(basename hooks.c)): hooks.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hooks.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h insn-modes.h machmode.h mode-classes.def options.h system.h tm.h $(addsuffix $(OBJ), $(basename host-ieee128.c)): host-ieee128.c auto-host.h bid-dpd.h config.h dconfig.h decimal128.h decimal128Local.h decimal128Symbols.h include/ansidecl.h $(addsuffix $(OBJ), $(basename host-ieee32.c)): host-ieee32.c bid-dpd.h decimal32.h decimal32Symbols.h $(addsuffix $(OBJ), $(basename host-ieee64.c)): host-ieee64.c bid-dpd.h decimal64.h decimal64Symbols.h $(addsuffix $(OBJ), $(basename host-linux.c)): host-linux.c auto-host.h config.h coretypes.h filenames.h hooks.h hosthooks-def.h hosthooks.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-modes.h machmode.h mode-classes.def system.h $(addsuffix $(OBJ), $(basename i386-c.c)): i386-c.c alias.h all-tree.def auto-host.h builtins.def c-common.def c-common.h c-pragma.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h double-int.h filenames.h fixed-value.h flags.h genrtl.h ggc.h gtype-desc.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h real.h reg-notes.def rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target-def.h target.h targhooks.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename i386.c)): i386.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def conditions.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h debug.h defaults.h df.h double-int.h dwarf2out.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-i386.h gtype-desc.h hard-reg-set.h hooks.h hwint.h i386-builtin-types.inc include/ansidecl.h include/dwarf2.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h params.def params.h pointer-set.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target-def.h target.h targhooks.h timevar.def timevar.h tm-constrs.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ifcvt.c)): ifcvt.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timeva r.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename incpath.c)): incpath.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cppdefault.h cpplib.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h incpath.h insn-constants.h insn-flags.h insn-modes.h intl.h line-map.h machmode.h mode-classes.def options.h prefix.h symtab.h system.h target.h tm.h $(addsuffix $(OBJ), $(basename init-regs.c)): init-regs.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-attrtab.c)): insn-attrtab.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h v ec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-automata.c)): insn-automata.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-emit.c)): insn-emit.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h dfp.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h resource.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h t imevar.def timevar.h tm-constrs.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-extract.c)): insn-extract.c alias.h auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h genrtl.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h recog.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h toplev.h vec.h $(addsuffix $(OBJ), $(basename insn-modes.c)): insn-modes.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h insn-modes.h machmode.h mode-classes.def options.h real.h system.h tm.h $(addsuffix $(OBJ), $(basename insn-opinit.c)): insn-opinit.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h real.h recog.h reg-notes.def reload.h rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def vec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-output.c)): insn-output.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h conditions.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-constrs.h tm- preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-peep.c)): insn-peep.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm-constrs.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vec prim.h $(addsuffix $(OBJ), $(basename insn-preds.c)): insn-preds.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h resource.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-constrs.h tm-preds.h tm.h tm_p.h toplev.h tree-chec k.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename insn-recog.c)): insn-recog.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h resource.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-constrs.h tm-preds.h tm.h tm_p.h toplev.h tree-chec k.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename integrate.c)): integrate.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-integrate.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h intl.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync- builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename intl.c)): intl.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h intl.h options.h system.h tm.h $(addsuffix $(OBJ), $(basename ipa-cp.c)): ipa-cp.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-prop.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree -dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-inline.c)): ipa-inline.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h diagnostic.def diagnostic.h double-int.h except.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-ipa-inline.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-prop.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg- notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-prop.c)): ipa-prop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-prop.h ipa-reference.h langhooks.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-flow-i nline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-pure-const.c)): ipa-pure-const.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h ipa-utils.h langhooks.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h stat istics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-reference.c)): ipa-reference.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gt-ipa-reference.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h ipa-utils.h langhooks.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-struct-reorg.c)): ipa-struct-reorg.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h ipa-struct-reorg.h ipa-type-escape.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h params.def params.h pointer-set.h predict.def predict. h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-type-escape.c)): ipa-type-escape.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h ipa-type-escape.h ipa-utils.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-c heck.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa-utils.c)): ipa-utils.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h ipa-utils.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree -flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ipa.c)): ipa.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira-build.c)): ira-build.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h sparseset.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira-color.c)): ira-color.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira-conflicts.c)): ira-conflicts.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sparseset.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar. h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira-costs.c)): ira-costs.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h topl ev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira-emit.c)): ira-emit.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h errors.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar. h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira-lives.c)): ira-lives.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sparseset.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds. h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename ira.c)): ira.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h errors.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h ira-int.h ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename jump.c)): jump.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h t m-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lambda-code.c)): lambda-code.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h re al.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lambda-mat.c)): lambda-mat.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lambda-trans.c)): lambda-trans.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename langhooks.c)): langhooks.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h intl.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab. h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree-inline.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lbasename.c)): lbasename.c auto-host.h config.h filenames.h include/ansidecl.h include/libiberty.h include/safe-ctype.h $(addsuffix $(OBJ), $(basename lcm.c)): lcm.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lists.c)): lists.c alias.h auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h genrtl.h ggc.h gt-lists.h gtype-desc.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h toplev.h vec.h $(addsuffix $(OBJ), $(basename loop-doloop.c)): loop-doloop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruc t.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename loop-init.c)): loop-init.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename loop-invariant.c)): loop-invariant.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm. h tm_p.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename loop-iv.c)): loop-iv.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename loop-unroll.c)): loop-unroll.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename loop-unswitch.c)): loop-unswitch.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lower-subreg.c)): lower-subreg.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lrealpath.c)): lrealpath.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename lto-cgraph.c)): lto-cgraph.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h p retty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-opts.c)): lto-opts.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-section-in.c)): lto-section-in.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h lto-compress.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-prin t.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-section-out.c)): lto-section-out.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h lto-compress.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-streamer-in.c)): lto-streamer-in.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h ipa-utils.h libfuncs.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def pre dict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-streamer-out.c)): lto-streamer-out.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/lto-symtab.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-pri nt.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-streamer.c)): lto-streamer.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow .h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename lto-symtab.c)): lto-symtab.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gt-lto-symtab.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h lambda.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim. h $(addsuffix $(OBJ), $(basename lto-wpa-fixup.c)): lto-wpa-fixup.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statis tics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename main.c)): main.c auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h line-map.h options.h system.h tm.h toplev.h $(addsuffix $(OBJ), $(basename make-relative-prefix.c)): make-relative-prefix.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename make-temp-file.c)): make-temp-file.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename matrix-reorg.c)): matrix-reorg.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h opts.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-n otes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-sccvn.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename mcf.c)): mcf.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h gcov-io.h gcov-iov.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h profile.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename md5.c)): md5.c auto-host.h config.h include/ansidecl.h md5.h $(addsuffix $(OBJ), $(basename mkstemps.c)): mkstemps.c auto-host.h config.h include/ansidecl.h $(addsuffix $(OBJ), $(basename mode-switching.c)): mode-switching.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-pass.h tree. def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename modulo-sched.c)): modulo-sched.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h ddg.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int. h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename objalloc.c)): objalloc.c auto-host.h config.h include/ansidecl.h include/objalloc.h $(addsuffix $(OBJ), $(basename obstack.c)): obstack.c auto-host.h config.h include/ansidecl.h include/obstack.h $(addsuffix $(OBJ), $(basename omega.c)): omega.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h $(addsuffix $(OBJ), $(basename omp-low.c)): omp-low.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-omp-low.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-n otes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename optabs.c)): optabs.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-optabs.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h predict.def predict.h real.h recog.h reg-notes.def reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev .h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename options.c)): options.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h flags.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h insn-modes.h intl.h options.h opts.h system.h target.h tm.h $(addsuffix $(OBJ), $(basename opts-common.c)): opts-common.c auto-host.h config.h coretypes.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h intl.h opts.h system.h $(addsuffix $(OBJ), $(basename opts.c)): opts.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h langhooks.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def opt ions.h opts.h output.h params.def params.h plugin.def plugin.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename params.c)): params.c auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h line-map.h options.h params.def params.h system.h tm.h toplev.h $(addsuffix $(OBJ), $(basename partition.c)): partition.c auto-host.h config.h include/ansidecl.h include/libiberty.h include/partition.h $(addsuffix $(OBJ), $(basename passes.c)): passes.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h dbxout.h debug.h defaults.h df.h diagnostic.def diagnostic.h double-int.h dwarf2asm.h dwarf2out.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h graph.h gsstruct.def gt-passes.h gtype-desc.h hard-reg-set.h highlev-plugin-common.h hosthooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/plugin-api.h include/safe-ctype.h include/splay-tree.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h ins n-flags.h insn-modes.h insn-notes.def integrate.h intl.h ipa-reference.h langhooks.h line-map.h lto-streamer.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h output.h params.def params.h plugin.def plugin.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename physmem.c)): physmem.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename plugin.c)): plugin.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h coretypes.h double-int.h filenames.h gcc-plugin.h ggc.h gtype-desc.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-modes.h intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h plugin.def plugin.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename pointer-set.c)): pointer-set.c auto-host.h config.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h pointer-set.h system.h $(addsuffix $(OBJ), $(basename postreload-gcse.c)): postreload-gcse.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename postreload.c)): postreload.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.d ef timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename predict.c)): predict.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sreal.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename prefix.c)): prefix.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h prefix.h system.h tm.h $(addsuffix $(OBJ), $(basename pretty-print.c)): pretty-print.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h coretypes.h double-int.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-modes.h intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h statistics.h symtab.h sync-builtins.def system.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename print-rtl.c)): print-rtl.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename print-tree.c)): print-tree.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-iterator.h tre e-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename profile.c)): profile.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h profile.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-bu iltins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename real.c)): real.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h dfp.h double-int.h filenames.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h real.h statistics.h symtab.h sync-builtins.def system.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename recog.c)): recog.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h t m.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename reg-stack.c)): reg-stack.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h topl ev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename regcprop.c)): regcprop.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename regex.c)): regex.c auto-host.h config.h include/ansidecl.h include/xregex.h include/xregex2.h $(addsuffix $(OBJ), $(basename reginfo.c)): reginfo.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-reginfo.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def time var.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename regmove.c)): regmove.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-c heck.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename regrename.c)): regrename.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass. h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename regstat.c)): regstat.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename reload.c)): reload.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar. def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename reload1.c)): reload1.c addresses.h alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timev ar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename reorg.c)): reorg.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h conditions.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h resource.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h ti mevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename resource.c)): resource.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h reg-notes.def regs.h resource.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree -check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename rtl-error.c)): rtl-error.c alias.h auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h genrtl.h hwint.h include/ansidecl.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def options.h pretty-print.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h toplev.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename rtl.c)): rtl.c alias.h auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h genrtl.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h tm.h toplev.h vec.h $(addsuffix $(OBJ), $(basename rtlanal.c)): rtlanal.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct .def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename rtlhooks.c)): rtlhooks.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h real.h recog.h reg-notes.def rtl.def rtl.h rtlhooks-def.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def vec.h vecprim.h $(addsuffix $(OBJ), $(basename safe-ctype.c)): safe-ctype.c include/ansidecl.h include/safe-ctype.h $(addsuffix $(OBJ), $(basename sbitmap.c)): sbitmap.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sched-deps.c)): sched-deps.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ira.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def time var.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sched-ebb.c)): sched-ebb.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sched-rgn.c)): sched-rgn.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int.h sel-sched.h statistics.h symtab.h sync-builtins.def system. h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sched-vis.c)): sched-vis.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h sched-int.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sdbout.c)): sdbout.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h double-int.h filenames.h ggc.h gt-sdbout.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h $(addsuffix $(OBJ), $(basename sel-sched-dump.c)): sel-sched-dump.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h sched-int.h sel-sched-dump.h sel-sched-ir.h statistics.h symtab .h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sel-sched-ir.c)): sel-sched-ir.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h rtlhooks-def.h sbitmap.h sched-int.h sel-sched-dump.h sel-sched-ir .h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sel-sched.c)): sel-sched.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h rtlhooks-def.h sbitmap.h sched-int.h sel-sc hed-dump.h sel-sched-ir.h sel-sched.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sese.c)): sese.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h sese.h stati stics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sha1.c)): sha1.c auto-host.h config.h include/ansidecl.h include/sha1.h $(addsuffix $(OBJ), $(basename simplify-rtx.c)): simplify-rtx.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h tre estruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename sort.c)): sort.c auto-host.h config.h include/ansidecl.h include/libiberty.h include/sort.h $(addsuffix $(OBJ), $(basename spaces.c)): spaces.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename sparseset.c)): sparseset.c auto-host.h config.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h sparseset.h system.h $(addsuffix $(OBJ), $(basename spec_qsort/spec_qsort.c)): spec_qsort/spec_qsort.c spec_qsort/spec_qsort.h $(addsuffix $(OBJ), $(basename splay-tree.c)): splay-tree.c auto-host.h config.h include/ansidecl.h include/libiberty.h include/splay-tree.h $(addsuffix $(OBJ), $(basename sreal.c)): sreal.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h sreal.h system.h tm.h $(addsuffix $(OBJ), $(basename stack-ptr-mod.c)): stack-ptr-mod.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename statistics.c)): statistics.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-pass.h tree.def tree.h treestruct.def vec.h vecprim.h $(addsuffix $(OBJ), $(basename stmt.c)): stmt.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h libfuncs.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistic s.h symtab.h sync-builtins.def system.h target.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename stor-layout.c)): stor-layout.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-stor-layout.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbi tmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-inline.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename store-motion.c)): store-motion.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h df.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h recog.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar .h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename strerror.c)): strerror.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename stringpool.c)): stringpool.c alias.h all-tree.def auto-host.h builtins.def c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cpplib.h defaults.h double-int.h filenames.h ggc.h gt-stringpool.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename strsignal.c)): strsignal.c include/ansidecl.h include/libiberty.h libib_config.h $(addsuffix $(OBJ), $(basename stub-objc.c)): stub-objc.c alias.h all-tree.def auto-host.h builtins.def c-common.def c-common.h config.h coretypes.h cpplib.h double-int.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h statistics.h symtab.h sync-builtins.def system.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename targhooks.c)): targhooks.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-targhooks.h gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h real.h recog.h reg-notes.def reload.h rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target-def.h target.h targhooks.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h ve cprim.h $(addsuffix $(OBJ), $(basename timevar.c)): timevar.c alias.h auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h flags.h genrtl.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h line-map.h machmode.h mini-gmp.h mode-classes.def options.h real.h reg-notes.def rtl.def rtl.h statistics.h system.h timevar.def timevar.h tm.h toplev.h vec.h $(addsuffix $(OBJ), $(basename toplev.c)): toplev.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h dbxout.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h dwarf2asm.h dwarf2out.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h graph.h gsstruct.def gtype-desc.h hard-reg-set.h highlev-plugin-common.h hosthooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-addr.h insn-attr.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h intl.h ir a.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h opts.h output.h params.def params.h plugin.def plugin.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-mudflap.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h version.h $(addsuffix $(OBJ), $(basename tracer.c)): tracer.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h double-int.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h ti mevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-affine.c)): tree-affine.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-affine.h tree-check.h tree-dump.h tree-pass.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-call-cdce.c)): tree-call-cdce.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins. def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-cfg.c)): tree-cfg.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print. h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-cfgcleanup.c)): tree-cfgcleanup.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-chrec.c)): tree-chrec.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-complex.c)): tree-complex.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check. h tree-flow-inline.h tree-flow.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-data-ref.c)): tree-data-ref.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitm ap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-dfa.c)): tree-dfa.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h convert.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg -notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-dump.c)): tree-dump.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pretty-print.h real.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-iterator.h tree-pass.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename tree-eh.c)): tree-eh.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h tim evar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-if-conv.c)): tree-if-conv.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistic s.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-inline.c)): tree-inline.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def integrate.h intl.h ipa-prop.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-n otes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-mudflap.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-into-ssa.c)): tree-into-ssa.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h prett y-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-iterator.c)): tree-iterator.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-iterator.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h tree-check.h tree-iterator.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-loop-distribution.c)): tree-loop-distribution.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predi ct.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-loop-linear.c)): tree-loop-linear.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predict.def predict.h pretty- print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-mudflap.c)): tree-mudflap.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-mudflap.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/demangle.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl. def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-mudflap.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-nested.c)): tree-nested.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-nested.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sy nc-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-nrv.c)): tree-nrv.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-bui ltins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-object-size.c)): tree-object-size.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa -alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-optimize.c)): tree-optimize.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h except.h expr.h filenames.h fixed-value.h flags.h function.h gcc-plugin.h genrtl.h ggc.h gimple.def gimple.h graph.h gsstruct.def gtype-desc.h hard-reg-set.h highlev-plugin-common.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h plugin .def plugin.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-mudflap.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-outof-ssa.c)): tree-outof-ssa.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h ssaexpand.h statist ics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-parloops.c)): tree-parloops.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gt-tree-parloops.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h stati stics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-phinodes.c)): tree-phinodes.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-phinodes.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-predcom.c)): tree-predcom.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-affine.h tree-check.h tree-chrec.h tree-data-ref.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-pretty-print.c)): tree-pretty-print.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-flow-inli ne.h tree-flow.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-profile.c)): tree-profile.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-profile.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-scalar-evolution.c)): tree-scalar-evolution.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-scalar-evolution.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h s bitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-sra.c)): tree-sra.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-prop.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap. h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-address.c)): tree-ssa-address.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-ssa-address.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-affine.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-alias.c)): tree-ssa-alias.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h convert.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h ipa-type-escape.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def p redict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-ccp.c)): tree-ssa-ccp.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h r eal.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-coalesce.c)): tree-ssa-coalesce.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flo w-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-copy.c)): tree-ssa-copy.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-copyrename.c)): tree-ssa-copyrename.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-in line.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-dce.c)): tree-ssa-dce.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-bui ltins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-dom.c)): tree-ssa-dom.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty- print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-dse.c)): tree-ssa-dse.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symta b.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-forwprop.c)): tree-ssa-forwprop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symta b.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-ifcombine.c)): tree-ssa-ifcombine.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tre e-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-live.c)): tree-ssa-live.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-dump.h tree-flo w-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-ch.c)): tree-ssa-loop-ch.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-im.c)): tree-ssa-loop-im.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-affine.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-ivcanon.c)): tree-ssa-loop-ivcanon.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-chrec.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-ivopts.c)): tree-ssa-loop-ivopts.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h p retty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-affine.h tree-check.h tree-chrec.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-manip.c)): tree-ssa-loop-manip.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes .def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-niter.c)): tree-ssa-loop-niter.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pr etty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-prefetch.c)): tree-ssa-loop-prefetch.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h ou tput.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop-unswitch.c)): tree-ssa-loop-unswitch.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-loop.c)): tree-ssa-loop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-math-opts.c)): tree-ssa-math-opts.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statisti cs.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-operands.c)): tree-ssa-operands.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow. h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-phiopt.c)): tree-ssa-phiopt.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-phiprop.c)): tree-ssa-phiprop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab. h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-pre.c)): tree-ssa-pre.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.d ef rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-sccvn.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-propagate.c)): tree-ssa-propagate.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-ssa-propagate.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-prin t.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-reassoc.c)): tree-ssa-reassoc.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h s ync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-sccvn.c)): tree-ssa-sccvn.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree-ssa-sccvn.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-sink.c)): tree-ssa-sink.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics. h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-structalias.c)): tree-ssa-structalias.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-ssa-structalias.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h prett y-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-ter.c)): tree-ssa-ter.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pa ss.h tree-ssa-alias.h tree-ssa-live.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-threadedge.c)): tree-ssa-threadedge.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pre tty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-threadupdate.c)): tree-ssa-threadupdate.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes .def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa-uncprop.c)): tree-ssa-uncprop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h domwalk.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-not es.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssa.c)): tree-ssa.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-inline.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-ssanames.c)): tree-ssanames.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-stdarg.c)): tree-stdarg.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-stdarg.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-switch-conversion.c)): tree-switch-conversion.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h t ree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-tailcall.c)): tree-tailcall.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h dbgcnt.def dbgcnt.h defaults.h diagnostic.def diagnostic.h double-int.h except.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap .h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm-preds.h tm.h tm_p.h tree-check.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-data-refs.c)): tree-vect-data-refs.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-generic.c)): tree-vect-generic.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gt-tree-vect-generic.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl. h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-loop-manip.c)): tree-vect-loop-manip.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict. def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-loop.c)): tree-vect-loop.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predict.de f predict.h pretty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-patterns.c)): tree-vect-patterns.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predict.def pr edict.h pretty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-slp.c)): tree-vect-slp.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-set.h predict.def predict.h p retty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vect-stmts.c)): tree-vect-stmts.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def optabs.h options.h params.def params.h pointer-se t.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vectorizer.c)): tree-vectorizer.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cfglayout.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h function.h genrtl.h ggc.h gimple.def gimple.h graphds.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h lambda.h line-map.h machmode.h mini-gmp.h mode-classes.def omega.h omp-builtins.def options.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h tree-check.h tree-chrec.h tree-data-ref.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree-vectorizer.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree-vrp.c)): tree-vrp.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfgloop.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h include/splay-tree.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def intl.h ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h pointer-set.h predict.def predict.h pretty-print.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system .h timevar.def timevar.h tm.h toplev.h tree-check.h tree-chrec.h tree-dump.h tree-flow-inline.h tree-flow.h tree-pass.h tree-scalar-evolution.h tree-ssa-alias.h tree-ssa-operands.h tree-ssa-propagate.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename tree.c)): tree.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h except.h filenames.h fixed-value.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gt-tree.h gtype-desc.h hard-reg-set.h hooks.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h intl.h ipa-reference.h langhooks-def.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h sbitmap.h statistics.h symtab .h sync-builtins.def system.h target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-inline.h tree-iterator.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename unlink-if-ordinary.c)): unlink-if-ordinary.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename value-prof.c)): value-prof.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h coverage.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h gcov-io.h gcov-iov.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def optabs.h options.h output.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-notes.def r egs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def value-prof.h varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename var-tracking.c)): var-tracking.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h cselib.h defaults.h diagnostic.def diagnostic.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gimple.def gimple.h gsstruct.def gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/fibheap.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def ipa-reference.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h params.def params.h pointer-set.h predict.def predict.h pretty-print.h real.h recog.h reg-n otes.def regs.h reload.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-flow-inline.h tree-flow.h tree-pass.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename varasm.c)): varasm.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h cfglayout.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386-protos.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h double-int.h emit-rtl.h expr.h filenames.h fixed-value.h flags.h function.h genrtl.h ggc.h gt-varasm.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-config.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def regs.h rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h targhooks.h tm-pre ds.h tm.h tm_p.h toplev.h tree-check.h tree-iterator.h tree-mudflap.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename varpool.c)): varpool.c alias.h all-tree.def auto-host.h basic-block.h bitmap.h builtins.def c-common.def cfghooks.h cgraph.h cif-code.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h diagnostic.def diagnostic.h double-int.h filenames.h flags.h function.h ggc.h gimple.def gimple.h gsstruct.def gt-varpool.h gtype-desc.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h ipa-reference.h langhooks.h line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h pointer-set.h predict.def predict.h pretty-print.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h target.h timevar.def timevar.h tm.h tree-check.h tree-flow-inline.h tree-flow.h tree-ssa-alias.h tree-ssa-operands.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename varray.c)): varray.c auto-host.h bversion.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/safe-ctype.h input.h insn-constants.h insn-flags.h line-map.h options.h statistics.h system.h tm.h toplev.h varray.h $(addsuffix $(OBJ), $(basename vec.c)): vec.c auto-host.h bversion.h config.h coretypes.h filenames.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/safe-ctype.h input.h line-map.h statistics.h system.h toplev.h vec.h $(addsuffix $(OBJ), $(basename version.c)): version.c version.h $(addsuffix $(OBJ), $(basename vmsdbgout.c)): vmsdbgout.c auto-host.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h filenames.h hwint.h include/ansidecl.h include/libiberty.h include/safe-ctype.h insn-constants.h insn-flags.h options.h system.h tm.h $(addsuffix $(OBJ), $(basename web.c)): web.c alias.h all-tree.def alloc-pool.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-common.def cfghooks.h config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h defaults.h df.h double-int.h filenames.h fixed-value.h flags.h function.h genrtl.h hard-reg-set.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/partition.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h predict.def predict.h real.h reg-notes.def rtl.def rtl.h sbitmap.h statistics.h symtab.h sync-builtins.def system.h timevar.def timevar.h tm.h toplev.h tree-check.h tree-pass.h tree.def tree.h treestruct.def varray.h vec.h vecprim.h $(addsuffix $(OBJ), $(basename xatexit.c)): xatexit.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename xcoffout.c)): xcoffout.c alias.h all-tree.def auto-host.h builtins.def bversion.h c-common.def config.h config/dbxelf.h config/elfos.h config/glibc-stdint.h config/i386/att.h config/i386/i386.h config/i386/linux.h config/i386/unix.h config/linux.h config/svr4.h config/vxworks-dummy.h coretypes.h debug.h defaults.h double-int.h filenames.h fixed-value.h flags.h genrtl.h ggc.h gtype-desc.h hwint.h include/ansidecl.h include/hashtab.h include/libiberty.h include/obstack.h include/safe-ctype.h input.h insn-constants.h insn-flags.h insn-modes.h insn-notes.def line-map.h machmode.h mini-gmp.h mode-classes.def omp-builtins.def options.h output.h real.h reg-notes.def rtl.def rtl.h statistics.h symtab.h sync-builtins.def system.h target.h tm.h toplev.h tree-check.h tree.def tree.h treestruct.def vec.h $(addsuffix $(OBJ), $(basename xexit.c)): xexit.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename xmalloc.c)): xmalloc.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename xmemdup.c)): xmemdup.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename xstrdup.c)): xstrdup.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename xstrerror.c)): xstrerror.c auto-host.h config.h include/ansidecl.h include/libiberty.h $(addsuffix $(OBJ), $(basename xstrndup.c)): xstrndup.c auto-host.h config.h include/ansidecl.h include/libiberty.h Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=602 NAME=gcc_s SOURCES= main.c cc1-checksum.c alias.c alloca.c alloc-pool.c argv.c \ attribs.c auto-inc-dec.c bb-reorder.c bid2dpd_dpd2bid.c bitmap.c \ bt-load.c c-lang.c c-errors.c c-lex.c c-pragma.c c-decl.c c-typeck.c \ c-convert.c c-aux-info.c c-common.c c-opts.c c-format.c c-semantics.c \ c-ppoutput.c c-objc-common.c c-dump.c c-parser.c c-gimplify.c \ c-pretty-print.c c-omp.c caller-save.c calls.c cfg.c cfganal.c \ cfgbuild.c cfgcleanup.c cfgexpand.c cfghooks.c cfglayout.c cfgloop.c \ cfgloopanal.c cfgloopmanip.c cfgrtl.c cgraph.c cgraphbuild.c \ cgraphunit.c combine.c combine-stack-adj.c concat.c convert.c coverage.c \ cp-demangle.c cp-demint.c cplus-dem.c cpp_directives.c cpp_lex.c \ cpp_errors.c cpp_expr.c cpp_charset.c cpp_macro.c cpp_traditional.c \ cpp_line-map.c cpp_symtab.c cpp_identifiers.c cpp_mkdeps.c cpp_pch.c \ cpp_directives-only.c crc32.c cse.c cselib.c dbxout.c dbgcnt.c dce.c \ ddg.c debug.c decContext.c decimal128.c decimal32.c decimal64.c \ decNumber.c df-byte-scan.c df-core.c df-problems.c df-scan.c dfp.c \ diagnostic.c dojump.c dominance.c domwalk.c double-int.c dse.c \ dwarf2asm.c dwarf2out.c dyn-string.c ebitmap.c emit-rtl.c et-forest.c \ except.c explow.c expmed.c expr.c fdmatch.c fibheap.c filename_cmp.c \ final.c fixed-value.c floatformat.c fold-const.c fopen_unlocked.c \ function.c fwprop.c gcse.c genrtl.c getopt1.c getopt.c getpwd.c \ getruntime.c ggc-common.c ggc-page.c gimple.c gimple-iterator.c \ gimple-low.c gimple-pretty-print.c gimplify.c graph.c graphds.c \ graphite.c graphite-blocking.c graphite-clast-to-gimple.c \ graphite-dependences.c graphite-interchange.c graphite-poly.c \ graphite-ppl.c graphite-scop-detection.c graphite-sese-to-poly.c \ gtype-desc.c haifa-sched.c hashtab.c hex.c hooks.c host-ieee128.c \ host-ieee32.c host-ieee64.c host-linux.c i386.c i386-c.c ifcvt.c \ incpath.c init-regs.c insn-attrtab.c insn-automata.c insn-emit.c \ insn-extract.c insn-modes.c insn-opinit.c insn-output.c insn-peep.c \ insn-preds.c insn-recog.c integrate.c ipa-cp.c ipa-inline.c ipa-prop.c \ ipa-pure-const.c ipa-reference.c ipa-struct-reorg.c ipa-type-escape.c \ ipa-utils.c ipa.c ira.c ira-build.c ira-costs.c ira-conflicts.c \ ira-color.c ira-emit.c ira-lives.c jump.c lambda-code.c lambda-mat.c \ lambda-trans.c langhooks.c lbasename.c lcm.c lists.c loop-doloop.c \ loop-init.c loop-invariant.c loop-iv.c loop-unroll.c loop-unswitch.c \ lower-subreg.c lrealpath.c lto-cgraph.c lto-streamer-in.c \ lto-streamer-out.c lto-section-in.c lto-section-out.c lto-symtab.c \ lto-opts.c lto-streamer.c lto-wpa-fixup.c make-relative-prefix.c \ make-temp-file.c partition.c matrix-reorg.c mcf.c md5.c mkstemps.c \ mode-switching.c modulo-sched.c objalloc.c obstack.c omega.c omp-low.c \ optabs.c options.c opts-common.c opts.c params.c passes.c physmem.c \ plugin.c pointer-set.c postreload-gcse.c postreload.c predict.c \ pretty-print.c print-rtl.c print-tree.c profile.c recog.c reg-stack.c \ regcprop.c regex.c reginfo.c regmove.c regrename.c regstat.c reload.c \ reload1.c reorg.c resource.c rtl-error.c rtl.c rtlanal.c rtlhooks.c \ safe-ctype.c sbitmap.c sched-deps.c sched-ebb.c sched-rgn.c sched-vis.c \ sdbout.c sel-sched-ir.c sel-sched-dump.c sel-sched.c sese.c sha1.c \ simplify-rtx.c sort.c spaces.c sparseset.c splay-tree.c sreal.c \ stack-ptr-mod.c statistics.c stmt.c stor-layout.c store-motion.c \ stringpool.c strsignal.c stub-objc.c targhooks.c timevar.c tracer.c \ tree-affine.c tree-call-cdce.c tree-cfg.c tree-cfgcleanup.c tree-chrec.c \ tree-complex.c tree-data-ref.c tree-dfa.c tree-dump.c tree-eh.c \ tree-if-conv.c tree-inline.c tree-into-ssa.c tree-iterator.c \ tree-loop-distribution.c tree-loop-linear.c tree-mudflap.c tree-nested.c \ tree-nrv.c tree-object-size.c tree-optimize.c tree-outof-ssa.c \ tree-parloops.c tree-phinodes.c tree-predcom.c tree-pretty-print.c \ tree-profile.c tree-scalar-evolution.c tree-sra.c \ tree-switch-conversion.c tree-ssa-address.c tree-ssa-alias.c \ tree-ssa-ccp.c tree-ssa-coalesce.c tree-ssa-copy.c tree-ssa-copyrename.c \ tree-ssa-dce.c tree-ssa-dom.c tree-ssa-dse.c tree-ssa-forwprop.c \ tree-ssa-ifcombine.c tree-ssa-live.c tree-ssa-loop-ch.c \ tree-ssa-loop-im.c tree-ssa-loop-ivcanon.c tree-ssa-loop-ivopts.c \ tree-ssa-loop-manip.c tree-ssa-loop-niter.c tree-ssa-loop-prefetch.c \ tree-ssa-loop-unswitch.c tree-ssa-loop.c tree-ssa-math-opts.c \ tree-ssa-operands.c tree-ssa-phiopt.c tree-ssa-phiprop.c tree-ssa-pre.c \ tree-ssa-propagate.c tree-ssa-reassoc.c tree-ssa-sccvn.c tree-ssa-sink.c \ tree-ssa-structalias.c tree-ssa-ter.c tree-ssa-threadedge.c \ tree-ssa-threadupdate.c tree-ssa-uncprop.c tree-ssa.c tree-ssanames.c \ tree-stdarg.c tree-tailcall.c tree-vect-generic.c tree-vect-patterns.c \ tree-vect-data-refs.c tree-vect-stmts.c tree-vect-loop.c \ tree-vect-loop-manip.c tree-vect-slp.c tree-vectorizer.c tree-vrp.c \ tree.c unlink-if-ordinary.c value-prof.c var-tracking.c varpool.c \ varasm.c varray.c vec.c vmsdbgout.c web.c xatexit.c xcoffout.c xexit.c \ xmalloc.c xmemdup.c xstrdup.c xstrerror.c xstrndup.c c-cppbuiltin.c \ c-pch.c cpp_files.c cpp_init.c cppdefault.c intl.c prefix.c strerror.c \ toplev.c vasprintf.c version.c builtins.c real.c mini-gmp.c \ spec_qsort/spec_qsort.c EXEBASE=sgcc NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = sgcc basepeak = 0 benchdir = benchspec benchmark = 602.gcc_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = sgcc_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = 1 force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 lognum = 043.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = gcc_s nansupport = no need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:05:45 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.663 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 602 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 --lognum 043.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/502.gcc_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = 200.opts-O3_-finline-limit_50000.s scilab.opts-O3_-finline-limit_50000.s train01.opts-O3_-finline-limit_50000.s Compile for '602.gcc_s' started at: 2021-07-08 15:06:40 (1625771200) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:06:40 (1625771200.42037) rm -rf *.o 200.opts-O3_-finline-limit_50000.s scilab.opts-O3_-finline-limit_50000.s train01.opts-O3_-finline-limit_50000.s find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf sgcc rm -rf sgcc.exe rm -rf core Stop make.clean command: 2021-07-08 15:06:40 (1625771200.58933) Elapsed time for make.clean command: 00:00:00 (0.16895604133606) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:06:40 (1625771200.59232) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o main.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 main.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cc1-checksum.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cc1-checksum.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o alias.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 alias.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o alloca.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 alloca.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o alloc-pool.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 alloc-pool.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o argv.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 argv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o attribs.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 attribs.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o auto-inc-dec.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 auto-inc-dec.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o bb-reorder.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 bb-reorder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o bid2dpd_dpd2bid.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 bid2dpd_dpd2bid.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o bitmap.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 bitmap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o bt-load.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 bt-load.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-lang.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-lang.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-errors.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-errors.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-lex.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-lex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-pragma.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-pragma.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-decl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-decl.c In file included from c-decl.c:9539: gt-c-decl.h: In function ‘gt_ggc_mx_c_binding’: gt-c-decl.h:92:7: warning: switch condition has boolean value [-Wswitch-bool] 92 | switch (TREE_CODE ((*x).decl) == LABEL_DECL) | ^~~~~~ In file included from c-decl.c:9539: gt-c-decl.h: In function ‘gt_ggc_mx_lang_tree_node’: gt-c-decl.h:146:7: warning: switch condition has boolean value [-Wswitch-bool] 146 | switch (TREE_CODE (&((*x)).generic) == IDENTIFIER_NODE) | ^~~~~~ gt-c-decl.h: In function ‘gt_pch_nx_c_binding’: gt-c-decl.h:652:7: warning: switch condition has boolean value [-Wswitch-bool] 652 | switch (TREE_CODE ((*x).decl) == LABEL_DECL) | ^~~~~~ gt-c-decl.h: In function ‘gt_pch_nx_lang_tree_node’: gt-c-decl.h:706:7: warning: switch condition has boolean value [-Wswitch-bool] 706 | switch (TREE_CODE (&((*x)).generic) == IDENTIFIER_NODE) | ^~~~~~ gt-c-decl.h: In function ‘gt_pch_p_9c_binding’: gt-c-decl.h:1214:3: warning: switch condition has boolean value [-Wswitch-bool] 1214 | switch (TREE_CODE ((*x).decl) == LABEL_DECL) | ^~~~~~ gt-c-decl.h: In function ‘gt_pch_p_14lang_tree_node’: gt-c-decl.h:1278:3: warning: switch condition has boolean value [-Wswitch-bool] 1278 | switch (TREE_CODE (&((*x)).generic) == IDENTIFIER_NODE) | ^~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-typeck.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-typeck.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-convert.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-convert.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-aux-info.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-aux-info.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-common.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-opts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-opts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-format.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-format.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-semantics.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-semantics.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-ppoutput.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-ppoutput.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-objc-common.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-objc-common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-dump.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-dump.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-parser.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-parser.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-gimplify.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-gimplify.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-pretty-print.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-pretty-print.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-omp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-omp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o caller-save.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 caller-save.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o calls.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 calls.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfganal.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfganal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgbuild.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgbuild.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgcleanup.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgcleanup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgexpand.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgexpand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfghooks.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfghooks.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfglayout.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfglayout.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgloop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgloop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgloopanal.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgloopanal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgloopmanip.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgloopmanip.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cfgrtl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cfgrtl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cgraph.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cgraph.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cgraphbuild.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cgraphbuild.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cgraphunit.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cgraphunit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o combine.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 combine.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o combine-stack-adj.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 combine-stack-adj.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o concat.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 concat.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o convert.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 convert.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o coverage.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 coverage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cp-demangle.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cp-demangle.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cp-demint.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cp-demint.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cplus-dem.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cplus-dem.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_directives.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_directives.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_lex.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_lex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_errors.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_errors.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_expr.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_expr.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_charset.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_charset.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_macro.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_macro.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_traditional.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_traditional.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_line-map.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_line-map.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_symtab.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_symtab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_identifiers.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_identifiers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_mkdeps.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_mkdeps.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_pch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_pch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_directives-only.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_directives-only.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o crc32.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 crc32.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cse.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cselib.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cselib.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dbxout.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dbxout.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dbgcnt.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dbgcnt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dce.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ddg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ddg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o debug.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 debug.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o decContext.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 decContext.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o decimal128.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 decimal128.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o decimal32.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 decimal32.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o decimal64.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 decimal64.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o decNumber.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 decNumber.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o df-byte-scan.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 df-byte-scan.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o df-core.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 df-core.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o df-problems.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 df-problems.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o df-scan.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 df-scan.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dfp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dfp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o diagnostic.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 diagnostic.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dojump.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dojump.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dominance.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dominance.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o domwalk.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 domwalk.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o double-int.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 double-int.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dse.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dwarf2asm.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dwarf2asm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dwarf2out.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dwarf2out.c In file included from dwarf2out.c:21656: gt-dwarf2out.h: In function ‘gt_ggc_mx_die_struct’: gt-dwarf2out.h:484:7: warning: switch condition has boolean value [-Wswitch-bool] 484 | switch (dwarf_version >= 4) | ^~~~~~ In file included from dwarf2out.c:21656: gt-dwarf2out.h: In function ‘gt_pch_nx_die_struct’: gt-dwarf2out.h:1047:7: warning: switch condition has boolean value [-Wswitch-bool] 1047 | switch (dwarf_version >= 4) | ^~~~~~ gt-dwarf2out.h: In function ‘gt_pch_p_10die_struct’: gt-dwarf2out.h:1673:3: warning: switch condition has boolean value [-Wswitch-bool] 1673 | switch (dwarf_version >= 4) | ^~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o dyn-string.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 dyn-string.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ebitmap.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ebitmap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o emit-rtl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 emit-rtl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o et-forest.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 et-forest.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o except.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 except.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o explow.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 explow.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o expmed.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 expmed.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o expr.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 expr.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o fdmatch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 fdmatch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o fibheap.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 fibheap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o filename_cmp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 filename_cmp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o final.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 final.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o fixed-value.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 fixed-value.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o floatformat.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 floatformat.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o fold-const.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 fold-const.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o fopen_unlocked.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 fopen_unlocked.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o function.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 function.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o fwprop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 fwprop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gcse.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gcse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o genrtl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 genrtl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o getopt1.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 getopt1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o getopt.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 getopt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o getpwd.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 getpwd.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o getruntime.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 getruntime.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ggc-common.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ggc-common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ggc-page.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ggc-page.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gimple.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gimple.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gimple-iterator.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gimple-iterator.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gimple-low.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gimple-low.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gimple-pretty-print.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gimple-pretty-print.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gimplify.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gimplify.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graph.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graph.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphds.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-blocking.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-blocking.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-clast-to-gimple.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-clast-to-gimple.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-dependences.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-dependences.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-interchange.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-interchange.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-poly.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-poly.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-ppl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-ppl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-scop-detection.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-scop-detection.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o graphite-sese-to-poly.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 graphite-sese-to-poly.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gtype-desc.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 gtype-desc.c gtype-desc.c: In function ‘gt_ggc_mx_basic_block_def’: gtype-desc.c:1080:7: warning: switch condition has boolean value [-Wswitch-bool] 1080 | switch (((((*x)).flags & BB_RTL) != 0)) | ^~~~~~ gtype-desc.c: In function ‘gt_ggc_mx_edge_def’: gtype-desc.c:1103:7: warning: switch condition has boolean value [-Wswitch-bool] 1103 | switch (current_ir_type () == IR_GIMPLE) | ^~~~~~ gtype-desc.c: In function ‘gt_pch_nx_basic_block_def’: gtype-desc.c:3513:7: warning: switch condition has boolean value [-Wswitch-bool] 3513 | switch (((((*x)).flags & BB_RTL) != 0)) | ^~~~~~ gtype-desc.c: In function ‘gt_pch_nx_edge_def’: gtype-desc.c:3536:7: warning: switch condition has boolean value [-Wswitch-bool] 3536 | switch (current_ir_type () == IR_GIMPLE) | ^~~~~~ gtype-desc.c: In function ‘gt_pch_p_15basic_block_def’: gtype-desc.c:6077:3: warning: switch condition has boolean value [-Wswitch-bool] 6077 | switch (((((*x)).flags & BB_RTL) != 0)) | ^~~~~~ gtype-desc.c: In function ‘gt_pch_p_8edge_def’: gtype-desc.c:6103:3: warning: switch condition has boolean value [-Wswitch-bool] 6103 | switch (current_ir_type () == IR_GIMPLE) | ^~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o haifa-sched.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 haifa-sched.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o hashtab.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 hashtab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o hex.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 hex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o hooks.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 hooks.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o host-ieee128.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 host-ieee128.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o host-ieee32.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 host-ieee32.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o host-ieee64.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 host-ieee64.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o host-linux.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 host-linux.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o i386.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 i386.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o i386-c.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 i386-c.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ifcvt.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ifcvt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o incpath.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 incpath.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o init-regs.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 init-regs.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-attrtab.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-attrtab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-automata.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-automata.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-emit.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-emit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-extract.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-extract.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-modes.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-modes.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-opinit.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-opinit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-output.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-output.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-peep.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-peep.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-preds.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-preds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o insn-recog.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 insn-recog.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o integrate.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 integrate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-cp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-cp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-inline.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-inline.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-prop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-prop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-pure-const.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-pure-const.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-reference.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-reference.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-struct-reorg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-struct-reorg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-type-escape.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-type-escape.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa-utils.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa-utils.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ipa.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ipa.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira-build.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira-build.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira-costs.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira-costs.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira-conflicts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira-conflicts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira-color.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira-color.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira-emit.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira-emit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ira-lives.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ira-lives.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o jump.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 jump.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lambda-code.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lambda-code.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lambda-mat.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lambda-mat.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lambda-trans.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lambda-trans.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o langhooks.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 langhooks.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lbasename.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lbasename.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lcm.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lcm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lists.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lists.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o loop-doloop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 loop-doloop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o loop-init.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 loop-init.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o loop-invariant.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 loop-invariant.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o loop-iv.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 loop-iv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o loop-unroll.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 loop-unroll.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o loop-unswitch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 loop-unswitch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lower-subreg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lower-subreg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lrealpath.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lrealpath.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-cgraph.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-cgraph.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-streamer-in.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-streamer-in.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-streamer-out.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-streamer-out.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-section-in.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-section-in.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-section-out.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-section-out.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-symtab.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-symtab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-opts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-opts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-streamer.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-streamer.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lto-wpa-fixup.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 lto-wpa-fixup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o make-relative-prefix.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 make-relative-prefix.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o make-temp-file.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 make-temp-file.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o partition.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 partition.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o matrix-reorg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 matrix-reorg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mcf.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mcf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o md5.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 md5.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mkstemps.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mkstemps.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mode-switching.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mode-switching.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o modulo-sched.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 modulo-sched.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o objalloc.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 objalloc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o obstack.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 obstack.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o omega.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 omega.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o omp-low.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 omp-low.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o optabs.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 optabs.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 options.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o opts-common.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 opts-common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o opts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 opts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o params.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 params.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o passes.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 passes.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o physmem.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 physmem.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o plugin.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 plugin.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pointer-set.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pointer-set.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o postreload-gcse.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 postreload-gcse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o postreload.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 postreload.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o predict.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 predict.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pretty-print.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pretty-print.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o print-rtl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 print-rtl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o print-tree.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 print-tree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o profile.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 profile.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o recog.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 recog.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reg-stack.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 reg-stack.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regcprop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regcprop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regex.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reginfo.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 reginfo.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regmove.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regmove.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regrename.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regrename.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regstat.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 regstat.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reload.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 reload.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reload1.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 reload1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reorg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 reorg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o resource.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 resource.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o rtl-error.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 rtl-error.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o rtl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 rtl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o rtlanal.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 rtlanal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o rtlhooks.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 rtlhooks.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o safe-ctype.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 safe-ctype.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sbitmap.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sbitmap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sched-deps.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sched-deps.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sched-ebb.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sched-ebb.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sched-rgn.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sched-rgn.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sched-vis.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sched-vis.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sdbout.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sdbout.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sel-sched-ir.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sel-sched-ir.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sel-sched-dump.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sel-sched-dump.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sel-sched.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sel-sched.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sese.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sese.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sha1.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sha1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o simplify-rtx.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 simplify-rtx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sort.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sort.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spaces.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 spaces.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sparseset.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sparseset.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o splay-tree.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 splay-tree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sreal.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sreal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o stack-ptr-mod.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 stack-ptr-mod.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o statistics.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 statistics.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o stmt.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 stmt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o stor-layout.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 stor-layout.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o store-motion.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 store-motion.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o stringpool.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 stringpool.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o strsignal.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 strsignal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o stub-objc.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 stub-objc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o targhooks.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 targhooks.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o timevar.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 timevar.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tracer.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tracer.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-affine.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-affine.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-call-cdce.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-call-cdce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-cfg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-cfg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-cfgcleanup.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-cfgcleanup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-chrec.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-chrec.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-complex.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-complex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-data-ref.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-data-ref.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-dfa.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-dfa.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-dump.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-dump.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-eh.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-eh.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-if-conv.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-if-conv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-inline.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-inline.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-into-ssa.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-into-ssa.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-iterator.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-iterator.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-loop-distribution.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-loop-distribution.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-loop-linear.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-loop-linear.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-mudflap.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-mudflap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-nested.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-nested.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-nrv.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-nrv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-object-size.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-object-size.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-optimize.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-optimize.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-outof-ssa.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-outof-ssa.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-parloops.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-parloops.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-phinodes.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-phinodes.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-predcom.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-predcom.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-pretty-print.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-pretty-print.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-profile.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-profile.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-scalar-evolution.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-scalar-evolution.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-sra.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-sra.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-switch-conversion.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-switch-conversion.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-address.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-address.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-alias.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-alias.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-ccp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-ccp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-coalesce.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-coalesce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-copy.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-copy.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-copyrename.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-copyrename.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-dce.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-dce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-dom.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-dom.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-dse.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-dse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-forwprop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-forwprop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-ifcombine.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-ifcombine.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-live.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-live.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-ch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-ch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-im.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-im.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-ivcanon.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-ivcanon.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-ivopts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-ivopts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-manip.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-manip.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-niter.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-niter.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-prefetch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-prefetch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop-unswitch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop-unswitch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-loop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-loop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-math-opts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-math-opts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-operands.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-operands.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-phiopt.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-phiopt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-phiprop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-phiprop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-pre.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-pre.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-propagate.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-propagate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-reassoc.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-reassoc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-sccvn.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-sccvn.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-sink.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-sink.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-structalias.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-structalias.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-ter.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-ter.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-threadedge.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-threadedge.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-threadupdate.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-threadupdate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa-uncprop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa-uncprop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssa.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssa.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-ssanames.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-ssanames.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-stdarg.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-stdarg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-tailcall.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-tailcall.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-generic.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-generic.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-patterns.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-patterns.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-data-refs.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-data-refs.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-stmts.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-stmts.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-loop.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-loop.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-loop-manip.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-loop-manip.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vect-slp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vect-slp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vectorizer.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vectorizer.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree-vrp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree-vrp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o tree.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 tree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o unlink-if-ordinary.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 unlink-if-ordinary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o value-prof.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 value-prof.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o var-tracking.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 var-tracking.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o varpool.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 varpool.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o varasm.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 varasm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o varray.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 varray.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o vec.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 vec.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o vmsdbgout.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 vmsdbgout.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o web.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 web.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xatexit.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xatexit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xcoffout.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xcoffout.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xexit.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xexit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xmalloc.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xmalloc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xmemdup.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xmemdup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xstrdup.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xstrdup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xstrerror.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xstrerror.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xstrndup.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xstrndup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-cppbuiltin.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-cppbuiltin.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c-pch.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 c-pch.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_files.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_files.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cpp_init.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cpp_init.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o cppdefault.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 cppdefault.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o intl.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 intl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o prefix.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 prefix.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o strerror.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 strerror.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o toplev.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 toplev.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o vasprintf.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 vasprintf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o version.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 version.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o builtins.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 builtins.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o real.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 real.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mini-gmp.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mini-gmp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spec_qsort/spec_qsort.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 spec_qsort/spec_qsort.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing main.o cc1-checksum.o alias.o alloca.o alloc-pool.o argv.o attribs.o auto-inc-dec.o bb-reorder.o bid2dpd_dpd2bid.o bitmap.o bt-load.o c-lang.o c-errors.o c-lex.o c-pragma.o c-decl.o c-typeck.o c-convert.o c-aux-info.o c-common.o c-opts.o c-format.o c-semantics.o c-ppoutput.o c-objc-common.o c-dump.o c-parser.o c-gimplify.o c-pretty-print.o c-omp.o caller-save.o calls.o cfg.o cfganal.o cfgbuild.o cfgcleanup.o cfgexpand.o cfghooks.o cfglayout.o cfgloop.o cfgloopanal.o cfgloopmanip.o cfgrtl.o cgraph.o cgraphbuild.o cgraphunit.o combine.o combine-stack-adj.o concat.o convert.o coverage.o cp-demangle.o cp-demint.o cplus-dem.o cpp_directives.o cpp_lex.o cpp_errors.o cpp_expr.o cpp_charset.o cpp_macro.o cpp_traditional.o cpp_line-map.o cpp_symtab.o cpp_identifiers.o cpp_mkdeps.o cpp_pch.o cpp_directives-only.o crc32.o cse.o cselib.o dbxout.o dbgcnt.o dce.o ddg.o debug.o decContext.o decimal128.o decimal32.o decimal64.o decNumber.o df-byte-scan.o df-core.o df-problems.o df-scan.o dfp.o diagnostic.o dojump.o dominance.o domwalk.o double-int.o dse.o dwarf2asm.o dwarf2out.o dyn-string.o ebitmap.o emit-rtl.o et-forest.o except.o explow.o expmed.o expr.o fdmatch.o fibheap.o filename_cmp.o final.o fixed-value.o floatformat.o fold-const.o fopen_unlocked.o function.o fwprop.o gcse.o genrtl.o getopt1.o getopt.o getpwd.o getruntime.o ggc-common.o ggc-page.o gimple.o gimple-iterator.o gimple-low.o gimple-pretty-print.o gimplify.o graph.o graphds.o graphite.o graphite-blocking.o graphite-clast-to-gimple.o graphite-dependences.o graphite-interchange.o graphite-poly.o graphite-ppl.o graphite-scop-detection.o graphite-sese-to-poly.o gtype-desc.o haifa-sched.o hashtab.o hex.o hooks.o host-ieee128.o host-ieee32.o host-ieee64.o host-linux.o i386.o i386-c.o ifcvt.o incpath.o init-regs.o insn-attrtab.o insn-automata.o insn-emit.o i nsn-extract.o insn-modes.o insn-opinit.o insn-output.o insn-peep.o insn-preds.o insn-recog.o integrate.o ipa-cp.o ipa-inline.o ipa-prop.o ipa-pure-const.o ipa-reference.o ipa-struct-reorg.o ipa-type-escape.o ipa-utils.o ipa.o ira.o ira-build.o ira-costs.o ira-conflicts.o ira-color.o ira-emit.o ira-lives.o jump.o lambda-code.o lambda-mat.o lambda-trans.o langhooks.o lbasename.o lcm.o lists.o loop-doloop.o loop-init.o loop-invariant.o loop-iv.o loop-unroll.o loop-unswitch.o lower-subreg.o lrealpath.o lto-cgraph.o lto-streamer-in.o lto-streamer-out.o lto-section-in.o lto-section-out.o lto-symtab.o lto-opts.o lto-streamer.o lto-wpa-fixup.o make-relative-prefix.o make-temp-file.o partition.o matrix-reorg.o mcf.o md5.o mkstemps.o mode-switching.o modulo-sched.o objalloc.o obstack.o omega.o omp-low.o optabs.o options.o opts-common.o opts.o params.o passes.o physmem.o plugin.o pointer-set.o postreload-gcse.o postreload.o predict.o pretty-print.o print-rtl.o print-tree.o profile.o recog.o reg-stack.o regcprop.o re gex.o reginfo.o regmove.o regrename.o regstat.o reload.o reload1.o reorg.o resource.o rtl-error.o rtl.o rtlanal.o rtlhooks.o safe-ctype.o sbitmap.o sched-deps.o sched-ebb.o sched-rgn.o sched-vis.o sdbout.o sel-sched-ir.o sel-sched-dump.o sel-sched.o sese.o sha1.o simplify-rtx.o sort.o spaces.o sparseset.o splay-tree.o sreal.o stack-ptr-mod.o statistics.o stmt.o stor-layout.o store-motion.o stringpool.o strsignal.o stub-objc.o targhooks.o timevar.o tracer.o tree-affine.o tree-call-cdce.o tree-cfg.o tree-cfgcleanup.o tree-chrec.o tree-complex.o tree-data-ref.o tree-dfa.o tree-dump.o tree-eh.o tree-if-conv.o tree-inline.o tree-into-ssa.o tree-iterator.o tree-loop-distribution.o tree-loop-linear.o tree-mudflap.o tree-nested.o tree-nrv.o tree-object-size.o tree-optimize.o tree-outof-ssa.o tree-parloops.o tree-phinodes.o tree-predcom.o tree-pretty-print.o tree-profile.o tree-scalar-evolution.o tree-sra.o tree-switch-conversion.o tree-ssa-address.o tree-ssa-alias.o tree-ssa-ccp.o tree-ssa-coalesce.o tree-ssa-cop y.o tree-ssa-copyrename.o tree-ssa-dce.o tree-ssa-dom.o tree-ssa-dse.o tree-ssa-forwprop.o tree-ssa-ifcombine.o tree-ssa-live.o tree-ssa-loop-ch.o tree-ssa-loop-im.o tree-ssa-loop-ivcanon.o tree-ssa-loop-ivopts.o tree-ssa-loop-manip.o tree-ssa-loop-niter.o tree-ssa-loop-prefetch.o tree-ssa-loop-unswitch.o tree-ssa-loop.o tree-ssa-math-opts.o tree-ssa-operands.o tree-ssa-phiopt.o tree-ssa-phiprop.o tree-ssa-pre.o tree-ssa-propagate.o tree-ssa-reassoc.o tree-ssa-sccvn.o tree-ssa-sink.o tree-ssa-structalias.o tree-ssa-ter.o tree-ssa-threadedge.o tree-ssa-threadupdate.o tree-ssa-uncprop.o tree-ssa.o tree-ssanames.o tree-stdarg.o tree-tailcall.o tree-vect-generic.o tree-vect-patterns.o tree-vect-data-refs.o tree-vect-stmts.o tree-vect-loop.o tree-vect-loop-manip.o tree-vect-slp.o tree-vectorizer.o tree-vrp.o tree.o unlink-if-ordinary.o value-prof.o var-tracking.o varpool.o varasm.o varray.o vec.o vmsdbgout.o web.o xatexit.o xcoffout.o xexit.o xmalloc.o xmemdup.o xstrdup.o xstrerror.o xstrndup.o c-cppbuiltin.o c-pch.o cpp_files.o cpp_init.o cppdefault.o intl.o prefix.o strerror.o toplev.o vasprintf.o version.o builtins.o real.o mini-gmp.o spec_qsort/spec_qsort.o -lm -o sgcc lto-wrapper: warning: using serial compilation of 128 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make command: 2021-07-08 15:10:20 (1625771420.1027) Elapsed time for make command: 00:03:39 (219.510387182236) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:10:20 (1625771420.10571) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options command: 2021-07-08 15:10:20 (1625771420.2783) Elapsed time for options command: 00:00:00 (0.172588109970093) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:10:20 (1625771420.28173) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:10:20 (1625771420.45156) Elapsed time for compiler-version command: 00:00:00 (0.169827938079834) Compile for '602.gcc_s' ended at: 2021-07-08 15:10:20 (1625771420) Elapsed compile for '602.gcc_s': 00:03:40 (220) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/exe/mcf_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/exe/mcf_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 605.mcf_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:10:20] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=605 NAME=mcf_s SOURCES= mcf.c mcfutil.c readmin.c implicit.c pstart.c output.c treeup.c \ pbla.c pflowup.c psimplex.c pbeampp.c spec_qsort/spec_qsort.c EXEBASE=mcf_s NEED_MATH=yes BENCHLANG=C BENCH_CFLAGS = -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = mcf_s basepeak = 0 benchdir = benchspec benchmark = 605.mcf_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = mcf_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 lognum = 043.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = mcf_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:05:45 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.663 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 605 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 --lognum 043.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/505.mcf_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = inp.out mcf.out Compile for '605.mcf_s' started at: 2021-07-08 15:10:21 (1625771421) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:10:21 (1625771421.01775) rm -rf *.o inp.out mcf.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf mcf_s rm -rf mcf_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:10:21 (1625771421.17988) Elapsed time for make.clean command: 00:00:00 (0.162128925323486) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:10:21 (1625771421.18285) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mcf.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mcf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mcfutil.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 mcfutil.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o readmin.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 readmin.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o implicit.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 implicit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pstart.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pstart.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o output.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 output.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o treeup.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 treeup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pbla.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pbla.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pflowup.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pflowup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o psimplex.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 psimplex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pbeampp.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pbeampp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spec_qsort/spec_qsort.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 spec_qsort/spec_qsort.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing mcf.o mcfutil.o readmin.o implicit.o pstart.o output.o treeup.o pbla.o pflowup.o psimplex.o pbeampp.o spec_qsort/spec_qsort.o -lm -o mcf_s Stop make command: 2021-07-08 15:10:22 (1625771422.2082) Elapsed time for make command: 00:00:01 (1.02534985542297) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:10:22 (1625771422.21118) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options command: 2021-07-08 15:10:22 (1625771422.3897) Elapsed time for options command: 00:00:00 (0.178523063659668) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:10:22 (1625771422.39312) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:10:22 (1625771422.5745) Elapsed time for compiler-version command: 00:00:00 (0.181370973587036) Compile for '605.mcf_s' ended at: 2021-07-08 15:10:22 (1625771422) Elapsed compile for '605.mcf_s': 00:00:01 (1) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/exe/omnetpp_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/exe/omnetpp_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 620.omnetpp_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:10:22] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=620 NAME=omnetpp_s SOURCES= simulator/fileoutscalarmgr.cc simulator/xmlgenerator.cc \ simulator/cdisplaystring.cc simulator/expression.tab.cc \ simulator/patternmatcher.cc simulator/neddtdvalidator.cc \ simulator/cmessage.cc simulator/cenvir.cc simulator/matchableobject.cc \ simulator/cstringpool.cc simulator/nedyylib.cc simulator/cgate.cc \ simulator/cconfiguration.cc simulator/cdynamicchanneltype.cc \ simulator/cxmlparimpl.cc simulator/nedexception.cc \ simulator/csimulation.cc simulator/msg2.tab.cc simulator/spec_qsort.cc \ simulator/nedelements.cc simulator/cdataratechannel.cc \ simulator/clcg32.cc simulator/envirbase.cc simulator/scenario.cc \ simulator/cstringtokenizer.cc simulator/appreg.cc \ simulator/nedsupport.cc simulator/cvisitor.cc simulator/valueiterator.cc \ simulator/cmodule.cc simulator/matchablefield.cc simulator/nederror.cc \ simulator/chasher.cc simulator/cwatch.cc simulator/cparimpl.cc \ simulator/globals.cc simulator/cstringparimpl.cc \ simulator/speedometer.cc simulator/fnamelisttokenizer.cc \ simulator/nedvalidator.cc simulator/cpacketqueue.cc \ simulator/ned1generator.cc simulator/nedparser.cc simulator/ned1.tab.cc \ simulator/ccompoundmodule.cc simulator/eventlogwriter.cc \ simulator/cexpressionbuilder.cc simulator/cconfigoption.cc \ simulator/cvarhist.cc simulator/objectprinter.cc simulator/main.cc \ simulator/lex.expryy.cc simulator/startup.cc simulator/nedutil.cc \ simulator/cmathfunction.cc simulator/eventlogfilemgr.cc \ simulator/nedtypeinfo.cc simulator/cdoubleparimpl.cc \ simulator/chistogram.cc simulator/akaroarng.cc simulator/cmessageheap.cc \ simulator/simtime.cc simulator/lex.msg2yy.cc \ simulator/cregistrationlist.cc simulator/cdetect.cc \ simulator/csimplemodule.cc simulator/expression.cc \ simulator/lcgrandom.cc simulator/inifilereader.cc simulator/errmsg.cc \ simulator/carray.cc simulator/runattributes.cc simulator/expr.tab.cc \ simulator/sectionbasedconfig.cc simulator/ccommbuffer.cc \ simulator/clongparimpl.cc simulator/cmsgpar.cc simulator/cnamedobject.cc \ simulator/cksplit.cc simulator/cxmlelement.cc simulator/ned2generator.cc \ simulator/cboolparimpl.cc simulator/cdelaychannel.cc simulator/cenum.cc \ simulator/onstartup.cc simulator/cfsm.cc simulator/lex.expressionyy.cc \ simulator/fsutils.cc simulator/nedfilebuffer.cc \ simulator/cdynamicmoduletype.cc simulator/displaystring.cc \ simulator/enumstr.cc simulator/cdensityestbase.cc simulator/ctopology.cc \ simulator/cnednetworkbuilder.cc simulator/fileglobber.cc \ simulator/cproperties.cc simulator/cexception.cc \ simulator/cxmldoccache.cc simulator/saxparser_none.cc \ simulator/cparsimcomm.cc simulator/stringutil.cc \ simulator/cownedobject.cc simulator/linetokenizer.cc \ simulator/nedelement.cc simulator/cneddeclaration.cc \ simulator/cdynamicexpression.cc simulator/cnedfunction.cc \ simulator/cproperty.cc simulator/lex.ned1yy.cc simulator/bigdecimal.cc \ simulator/ccomponent.cc simulator/clinkedlist.cc simulator/nedtools.cc \ simulator/opp_run.cc simulator/nedsaxhandler.cc \ simulator/matchexpression.tab.cc simulator/cnedloader.cc \ simulator/cpar.cc simulator/nedresourcecache.cc \ simulator/nedxmlparser.cc simulator/task.cc \ simulator/cclassdescriptor.cc simulator/minixpath.cc \ simulator/cstlwatch.cc simulator/coutvector.cc simulator/args.cc \ simulator/cqueue.cc simulator/ned2.tab.cc \ simulator/nedsyntaxvalidator.cc simulator/matchexpression.cc \ simulator/cclassfactory.cc simulator/exception.cc simulator/fileutil.cc \ simulator/distrib.cc simulator/cstddev.cc simulator/stringtokenizer.cc \ simulator/matchexpressionlexer.cc simulator/cstatistic.cc \ simulator/neddtdvalidatorbase.cc simulator/nedcrossvalidator.cc \ simulator/ccomponenttype.cc simulator/cobject.cc simulator/cchannel.cc \ simulator/akoutvectormgr.cc simulator/filesnapshotmgr.cc \ simulator/lex.ned2yy.cc simulator/ccoroutine.cc \ simulator/unitconversion.cc simulator/cdefaultlist.cc simulator/util.cc \ simulator/commonutil.cc simulator/cscheduler.cc \ simulator/nedfunctions.cc simulator/cmersennetwister.cc \ simulator/sim_std_m.cc simulator/filereader.cc simulator/stringpool.cc \ simulator/cmdenv.cc simulator/fileoutvectormgr.cc simulator/cpsquare.cc \ simulator/indexedfileoutvectormgr.cc simulator/intervals.cc \ model/MACRelayUnitBase.cc model/Ieee802Ctrl_m.cc model/EtherEncap.cc \ model/MACAddress.cc model/EtherLLC.cc model/EtherFrame_m.cc \ model/EtherMAC.cc model/MACRelayUnitNP.cc model/EtherApp_m.cc \ model/EtherMACBase.cc model/EtherAppSrv.cc model/MACRelayUnitPP.cc \ model/EtherHub.cc model/EtherAppCli.cc EXEBASE=omnetpp_s NEED_MATH=yes BENCHLANG=CXX BENCH_FLAGS = -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = 1e-06 action = build allow_label_override = 0 backup_config = 1 baseexe = omnetpp_s basepeak = 0 benchdir = benchspec benchmark = 620.omnetpp_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = omnetpp_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 lognum = 043.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = omnetpp_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:05:45 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.663 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 620 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = 1e-05 reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 --lognum 043.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/520.omnetpp_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = General-0.sca Compile for '620.omnetpp_s' started at: 2021-07-08 15:10:23 (1625771423) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:10:23 (1625771423.04437) rm -rf *.o General-0.sca find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf omnetpp_s rm -rf omnetpp_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:10:23 (1625771423.20637) Elapsed time for make.clean command: 00:00:00 (0.161996841430664) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:10:23 (1625771423.2093) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/fileoutscalarmgr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/fileoutscalarmgr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/xmlgenerator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/xmlgenerator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdisplaystring.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdisplaystring.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/expression.tab.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/expression.tab.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/patternmatcher.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/patternmatcher.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/neddtdvalidator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/neddtdvalidator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmessage.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmessage.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cenvir.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cenvir.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/matchableobject.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/matchableobject.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cstringpool.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cstringpool.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedyylib.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedyylib.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cgate.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cgate.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cconfiguration.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cconfiguration.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdynamicchanneltype.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdynamicchanneltype.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cxmlparimpl.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cxmlparimpl.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedexception.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedexception.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/csimulation.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/csimulation.cc simulator/csimulation.cc: In destructor ‘virtual cSimulation::~cSimulation()’: simulator/csimulation.cc:92:9: warning: ‘throw’ will always call ‘terminate’ [-Wterminate] 92 | throw cRuntimeError(this, "cannot delete the active simulation manager object"); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ simulator/csimulation.cc:92:9: note: in C++11 destructors default to ‘noexcept’ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/msg2.tab.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/msg2.tab.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/spec_qsort.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/spec_qsort.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedelements.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedelements.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdataratechannel.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdataratechannel.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/clcg32.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/clcg32.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/envirbase.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/envirbase.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/scenario.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/scenario.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cstringtokenizer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cstringtokenizer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/appreg.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/appreg.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedsupport.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedsupport.cc simulator/nedsupport.cc: In member function ‘virtual NEDSupport::Value NEDSupport::Sizeof::evaluate(cComponent*, NEDSupport::Value*, int)’: simulator/nedsupport.cc:188:32: warning: control reaches end of non-void function [-Wreturn-type] 188 | siblingModule->size(); | ~~~~~~~~~~~~~~~~~~~^~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cvisitor.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cvisitor.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/valueiterator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/valueiterator.cc simulator/valueiterator.cc: In member function ‘std::string ValueIterator::get(int) const’: simulator/valueiterator.cc:150:1: warning: control reaches end of non-void function [-Wreturn-type] 150 | } | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmodule.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmodule.cc simulator/cmodule.cc: In destructor ‘virtual cModule::~cModule()’: simulator/cmodule.cc:75:13: warning: ‘throw’ will always call ‘terminate’ [-Wterminate] 75 | throw cRuntimeError("Cannot delete a compound module from one of its submodules!"); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ simulator/cmodule.cc:75:13: note: in C++11 destructors default to ‘noexcept’ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/matchablefield.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/matchablefield.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nederror.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nederror.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/chasher.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/chasher.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cwatch.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cwatch.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cparimpl.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cparimpl.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/globals.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/globals.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cstringparimpl.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cstringparimpl.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/speedometer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/speedometer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/fnamelisttokenizer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/fnamelisttokenizer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedvalidator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedvalidator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cpacketqueue.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cpacketqueue.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ned1generator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ned1generator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedparser.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedparser.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ned1.tab.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ned1.tab.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ccompoundmodule.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ccompoundmodule.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/eventlogwriter.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/eventlogwriter.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cexpressionbuilder.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cexpressionbuilder.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cconfigoption.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cconfigoption.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cvarhist.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cvarhist.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/objectprinter.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/objectprinter.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/main.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/main.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/lex.expryy.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/lex.expryy.cc lex.expryy.cc: In function ‘int expryylex()’: lex.expryy.cc:816:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:817:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:817:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:818:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:864:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc: In function ‘int yy_get_next_buffer()’: lex.expryy.cc:1354:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1355:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1356:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1356:31: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc: In function ‘yy_state_type yy_get_previous_state()’: lex.expryy.cc:1488:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1489:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1495:20: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc: In function ‘yy_state_type yy_try_NUL_trans(yy_state_type)’: lex.expryy.cc:1520:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1521:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1523:19: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc: At global scope: lex.expryy.cc:1541:49: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc: In function ‘void yyunput(int, char*)’: lex.expryy.cc:1543:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1553:16: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1554:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expryy.cc:1556:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/startup.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/startup.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedutil.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedutil.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmathfunction.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmathfunction.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/eventlogfilemgr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/eventlogfilemgr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedtypeinfo.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedtypeinfo.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdoubleparimpl.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdoubleparimpl.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/chistogram.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/chistogram.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/akaroarng.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/akaroarng.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmessageheap.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmessageheap.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/simtime.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/simtime.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/lex.msg2yy.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/lex.msg2yy.cc lex.msg2yy.cc: In function ‘int msg2yylex()’: lex.msg2yy.cc:864:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:865:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:865:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:866:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:912:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc: In function ‘int yy_get_next_buffer()’: lex.msg2yy.cc:1500:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1501:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1502:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1502:31: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc: In function ‘yy_state_type yy_get_previous_state()’: lex.msg2yy.cc:1634:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1635:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1641:20: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc: In function ‘yy_state_type yy_try_NUL_trans(yy_state_type)’: lex.msg2yy.cc:1666:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1667:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1669:19: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc: At global scope: lex.msg2yy.cc:1687:49: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc: In function ‘void yyunput(int, char*)’: lex.msg2yy.cc:1689:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1699:16: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1700:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.msg2yy.cc:1702:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cregistrationlist.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cregistrationlist.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdetect.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdetect.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/csimplemodule.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/csimplemodule.cc simulator/csimplemodule.cc: In destructor ‘virtual cSimpleModule::~cSimpleModule()’: simulator/csimplemodule.cc:219:9: warning: ‘throw’ will always call ‘terminate’ [-Wterminate] 219 | throw cRuntimeError(this, "cannot delete itself, only via deleteModule()"); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ simulator/csimplemodule.cc:219:9: note: in C++11 destructors default to ‘noexcept’ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/expression.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/expression.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/lcgrandom.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/lcgrandom.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/inifilereader.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/inifilereader.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/errmsg.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/errmsg.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/carray.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/carray.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/runattributes.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/runattributes.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/expr.tab.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/expr.tab.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/sectionbasedconfig.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/sectionbasedconfig.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ccommbuffer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ccommbuffer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/clongparimpl.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/clongparimpl.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmsgpar.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmsgpar.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cnamedobject.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cnamedobject.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cksplit.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cksplit.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cxmlelement.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cxmlelement.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ned2generator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ned2generator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cboolparimpl.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cboolparimpl.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdelaychannel.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdelaychannel.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cenum.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cenum.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/onstartup.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/onstartup.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cfsm.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cfsm.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/lex.expressionyy.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/lex.expressionyy.cc lex.expressionyy.cc: In function ‘int expressionyylex()’: lex.expressionyy.cc:784:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:785:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:785:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:786:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:832:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc: In function ‘int yy_get_next_buffer()’: lex.expressionyy.cc:1282:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1283:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1284:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1284:31: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc: In function ‘yy_state_type yy_get_previous_state()’: lex.expressionyy.cc:1416:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1417:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1423:20: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc: In function ‘yy_state_type yy_try_NUL_trans(yy_state_type)’: lex.expressionyy.cc:1448:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1449:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1451:19: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc: At global scope: lex.expressionyy.cc:1469:49: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc: In function ‘void yyunput(int, char*)’: lex.expressionyy.cc:1471:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1481:16: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1482:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.expressionyy.cc:1484:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/fsutils.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/fsutils.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedfilebuffer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedfilebuffer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdynamicmoduletype.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdynamicmoduletype.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/displaystring.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/displaystring.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/enumstr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/enumstr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdensityestbase.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdensityestbase.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ctopology.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ctopology.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cnednetworkbuilder.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cnednetworkbuilder.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/fileglobber.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/fileglobber.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cproperties.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cproperties.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cexception.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cexception.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cxmldoccache.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cxmldoccache.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/saxparser_none.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/saxparser_none.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cparsimcomm.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cparsimcomm.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/stringutil.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/stringutil.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cownedobject.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cownedobject.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/linetokenizer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/linetokenizer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedelement.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedelement.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cneddeclaration.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cneddeclaration.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdynamicexpression.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdynamicexpression.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cnedfunction.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cnedfunction.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cproperty.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cproperty.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/lex.ned1yy.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/lex.ned1yy.cc lex.ned1yy.cc: In function ‘int ned1yylex()’: lex.ned1yy.cc:943:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:944:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:944:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:945:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:991:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc: In function ‘int yy_get_next_buffer()’: lex.ned1yy.cc:1783:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1784:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1785:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1785:31: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc: In function ‘yy_state_type yy_get_previous_state()’: lex.ned1yy.cc:1917:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1918:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1924:20: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc: In function ‘yy_state_type yy_try_NUL_trans(yy_state_type)’: lex.ned1yy.cc:1949:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1950:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1952:19: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc: At global scope: lex.ned1yy.cc:1970:49: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc: In function ‘void yyunput(int, char*)’: lex.ned1yy.cc:1972:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1982:16: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1983:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned1yy.cc:1985:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/bigdecimal.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/bigdecimal.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ccomponent.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ccomponent.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/clinkedlist.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/clinkedlist.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedtools.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedtools.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/opp_run.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/opp_run.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedsaxhandler.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedsaxhandler.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/matchexpression.tab.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/matchexpression.tab.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cnedloader.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cnedloader.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cpar.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cpar.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedresourcecache.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedresourcecache.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedxmlparser.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedxmlparser.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/task.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/task.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cclassdescriptor.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cclassdescriptor.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/minixpath.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/minixpath.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cstlwatch.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cstlwatch.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/coutvector.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/coutvector.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/args.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/args.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cqueue.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cqueue.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ned2.tab.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ned2.tab.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedsyntaxvalidator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedsyntaxvalidator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/matchexpression.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/matchexpression.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cclassfactory.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cclassfactory.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/exception.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/exception.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/fileutil.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/fileutil.cc simulator/fileutil.cc: In destructor ‘PushDir::~PushDir()’: simulator/fileutil.cc:273:13: warning: ‘throw’ will always call ‘terminate’ [-Wterminate] 273 | throw opp_runtime_error("cannot change back to directory `%s'", olddir.c_str()); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ simulator/fileutil.cc:273:13: note: in C++11 destructors default to ‘noexcept’ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/distrib.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/distrib.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cstddev.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cstddev.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/stringtokenizer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/stringtokenizer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/matchexpressionlexer.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/matchexpressionlexer.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cstatistic.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cstatistic.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/neddtdvalidatorbase.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/neddtdvalidatorbase.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedcrossvalidator.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedcrossvalidator.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ccomponenttype.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ccomponenttype.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cobject.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cobject.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cchannel.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cchannel.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/akoutvectormgr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/akoutvectormgr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/filesnapshotmgr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/filesnapshotmgr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/lex.ned2yy.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/lex.ned2yy.cc lex.ned2yy.cc: In function ‘int ned2yylex()’: lex.ned2yy.cc:907:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:908:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:908:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:909:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:955:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc: In function ‘int yy_get_next_buffer()’: lex.ned2yy.cc:1625:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1626:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1627:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1627:31: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc: In function ‘yy_state_type yy_get_previous_state()’: lex.ned2yy.cc:1759:25: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1760:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1766:20: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc: In function ‘yy_state_type yy_try_NUL_trans(yy_state_type)’: lex.ned2yy.cc:1791:15: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1792:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1794:19: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc: At global scope: lex.ned2yy.cc:1812:49: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc: In function ‘void yyunput(int, char*)’: lex.ned2yy.cc:1814:17: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1824:16: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1825:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] lex.ned2yy.cc:1827:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/ccoroutine.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/ccoroutine.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/unitconversion.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/unitconversion.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cdefaultlist.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cdefaultlist.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/util.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/util.cc In file included from simulator/cmersennetwister.h:26, from simulator/util.cc:411: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/commonutil.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/commonutil.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cscheduler.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cscheduler.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/nedfunctions.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/nedfunctions.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmersennetwister.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmersennetwister.cc In file included from simulator/cmersennetwister.h:26, from simulator/cmersennetwister.cc:22: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/sim_std_m.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/sim_std_m.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from simulator/sim_std_m.h:8, from simulator/sim_std_m.cc:13: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/filereader.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/filereader.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/stringpool.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/stringpool.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cmdenv.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cmdenv.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/fileoutvectormgr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/fileoutvectormgr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/cpsquare.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/cpsquare.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/indexedfileoutvectormgr.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/indexedfileoutvectormgr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o simulator/intervals.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 simulator/intervals.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/MACRelayUnitBase.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/MACRelayUnitBase.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/MACRelayUnitBase.h:22, from model/MACRelayUnitBase.cc:18: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/Ieee802Ctrl_m.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/Ieee802Ctrl_m.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/Ieee802Ctrl_m.h:8, from model/Ieee802Ctrl_m.cc:13: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherEncap.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherEncap.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/INETDefs.h:26, from model/Ethernet.h:21, from model/EtherEncap.h:22, from model/EtherEncap.cc:19: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/MACAddress.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/MACAddress.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/MACAddress.h:22, from model/MACAddress.cc:19: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherLLC.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherLLC.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/INETDefs.h:26, from model/Ethernet.h:21, from model/EtherLLC.h:21, from model/EtherLLC.cc:18: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherFrame_m.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherFrame_m.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/EtherFrame_m.h:8, from model/EtherFrame_m.cc:13: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherMAC.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherMAC.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/EtherMAC.cc:20: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/MACRelayUnitNP.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/MACRelayUnitNP.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/MACRelayUnitBase.h:22, from model/MACRelayUnitNP.h:22, from model/MACRelayUnitNP.cc:18: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherApp_m.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherApp_m.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/EtherApp_m.h:8, from model/EtherApp_m.cc:13: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherMACBase.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherMACBase.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/EtherMACBase.cc:21: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherAppSrv.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherAppSrv.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/INETDefs.h:26, from model/EtherAppSrv.h:21, from model/EtherAppSrv.cc:20: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/MACRelayUnitPP.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/MACRelayUnitPP.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/MACRelayUnitBase.h:22, from model/MACRelayUnitPP.h:22, from model/MACRelayUnitPP.cc:18: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherHub.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherHub.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/INETDefs.h:26, from model/EtherHub.h:21, from model/EtherHub.cc:18: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o model/EtherAppCli.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 model/EtherAppCli.cc In file included from simulator/cmersennetwister.h:26, from simulator/omnetpp.h:53, from model/INETDefs.h:26, from model/EtherAppCli.h:21, from model/EtherAppCli.cc:21: simulator/mersennetwister.h: In member function ‘MTRand::uint32_t MTRand::randInt()’: simulator/mersennetwister.h:190:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 190 | register uint32 s1; | ^~ simulator/mersennetwister.h: In member function ‘void MTRand::seed(MTRand::uint32_t*, MTRand::uint32_t)’: simulator/mersennetwister.h:235:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 235 | register int i = 1; | ^ simulator/mersennetwister.h:236:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 236 | register uint32 j = 0; | ^ simulator/mersennetwister.h:237:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 237 | register int k = ( N > seedLength ? N : seedLength ); | ^ simulator/mersennetwister.h: In member function ‘void MTRand::seed()’: simulator/mersennetwister.h:272:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 272 | register uint32 *s = bigSeed; | ^ simulator/mersennetwister.h:273:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 273 | register int i = N; | ^ simulator/mersennetwister.h:274:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 274 | register bool success = true; | ^~~~~~~ simulator/mersennetwister.h: In member function ‘void MTRand::initialize(MTRand::uint32_t)’: simulator/mersennetwister.h:292:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 292 | register uint32 *s = state; | ^ simulator/mersennetwister.h:293:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 293 | register uint32 *r = state; | ^ simulator/mersennetwister.h:294:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 294 | register int i = 1; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::reload()’: simulator/mersennetwister.h:308:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 308 | register uint32 *p = state; | ^ simulator/mersennetwister.h:309:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 309 | register int i; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::save(MTRand::uint32_t*) const’: simulator/mersennetwister.h:348:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 348 | register uint32 *sa = saveArray; | ^~ simulator/mersennetwister.h:349:28: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 349 | register const uint32 *s = state; | ^ simulator/mersennetwister.h:350:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 350 | register int i = N; | ^ simulator/mersennetwister.h: In member function ‘void MTRand::load(MTRand::uint32_t*)’: simulator/mersennetwister.h:358:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 358 | register uint32 *s = state; | ^ simulator/mersennetwister.h:359:22: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 359 | register uint32 *la = loadArray; | ^~ simulator/mersennetwister.h:360:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 360 | register int i = N; | ^ simulator/mersennetwister.h: In function ‘std::ostream& operator<<(std::ostream&, const MTRand&)’: simulator/mersennetwister.h:369:36: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 369 | register const MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:370:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 370 | register int i = mtrand.N; | ^ simulator/mersennetwister.h: In function ‘std::istream& operator>>(std::istream&, MTRand&)’: simulator/mersennetwister.h:378:30: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 378 | register MTRand::uint32 *s = mtrand.state; | ^ simulator/mersennetwister.h:379:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister] 379 | register int i = mtrand.N; | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP simulator/fileoutscalarmgr.o simulator/xmlgenerator.o simulator/cdisplaystring.o simulator/expression.tab.o simulator/patternmatcher.o simulator/neddtdvalidator.o simulator/cmessage.o simulator/cenvir.o simulator/matchableobject.o simulator/cstringpool.o simulator/nedyylib.o simulator/cgate.o simulator/cconfiguration.o simulator/cdynamicchanneltype.o simulator/cxmlparimpl.o simulator/nedexception.o simulator/csimulation.o simulator/msg2.tab.o simulator/spec_qsort.o simulator/nedelements.o simulator/cdataratechannel.o simulator/clcg32.o simulator/envirbase.o simulator/scenario.o simulator/cstringtokenizer.o simulator/appreg.o simulator/nedsupport.o simulator/cvisitor.o simulator/valueiterator.o simulator/cmodule.o simulator/matchablefield.o simulator/nederror.o simulator/chasher.o simulator/cwatch.o simulator/cparimpl.o simulator/globals.o simulator /cstringparimpl.o simulator/speedometer.o simulator/fnamelisttokenizer.o simulator/nedvalidator.o simulator/cpacketqueue.o simulator/ned1generator.o simulator/nedparser.o simulator/ned1.tab.o simulator/ccompoundmodule.o simulator/eventlogwriter.o simulator/cexpressionbuilder.o simulator/cconfigoption.o simulator/cvarhist.o simulator/objectprinter.o simulator/main.o simulator/lex.expryy.o simulator/startup.o simulator/nedutil.o simulator/cmathfunction.o simulator/eventlogfilemgr.o simulator/nedtypeinfo.o simulator/cdoubleparimpl.o simulator/chistogram.o simulator/akaroarng.o simulator/cmessageheap.o simulator/simtime.o simulator/lex.msg2yy.o simulator/cregistrationlist.o simulator/cdetect.o simulator/csimplemodule.o simulator/expression.o simulator/lcgrandom.o simulator/inifilereader.o simulator/errmsg.o simulator/carray.o simulator/runattributes.o simulator/expr.tab.o simulator/sectionbasedconfig.o simulator/ccommbuffer.o simulator/clongparimpl.o simulator/cmsgpar.o simulator/cnamedobject.o simulator/cksp lit.o simulator/cxmlelement.o simulator/ned2generator.o simulator/cboolparimpl.o simulator/cdelaychannel.o simulator/cenum.o simulator/onstartup.o simulator/cfsm.o simulator/lex.expressionyy.o simulator/fsutils.o simulator/nedfilebuffer.o simulator/cdynamicmoduletype.o simulator/displaystring.o simulator/enumstr.o simulator/cdensityestbase.o simulator/ctopology.o simulator/cnednetworkbuilder.o simulator/fileglobber.o simulator/cproperties.o simulator/cexception.o simulator/cxmldoccache.o simulator/saxparser_none.o simulator/cparsimcomm.o simulator/stringutil.o simulator/cownedobject.o simulator/linetokenizer.o simulator/nedelement.o simulator/cneddeclaration.o simulator/cdynamicexpression.o simulator/cnedfunction.o simulator/cproperty.o simulator/lex.ned1yy.o simulator/bigdecimal.o simulator/ccomponent.o simulator/clinkedlist.o simulator/nedtools.o simulator/opp_run.o simulator/nedsaxhandler.o simulator/matchexpression.tab.o simulator/cnedloader.o simulator/cpar.o simulator/nedresourcecache.o simulator/ne dxmlparser.o simulator/task.o simulator/cclassdescriptor.o simulator/minixpath.o simulator/cstlwatch.o simulator/coutvector.o simulator/args.o simulator/cqueue.o simulator/ned2.tab.o simulator/nedsyntaxvalidator.o simulator/matchexpression.o simulator/cclassfactory.o simulator/exception.o simulator/fileutil.o simulator/distrib.o simulator/cstddev.o simulator/stringtokenizer.o simulator/matchexpressionlexer.o simulator/cstatistic.o simulator/neddtdvalidatorbase.o simulator/nedcrossvalidator.o simulator/ccomponenttype.o simulator/cobject.o simulator/cchannel.o simulator/akoutvectormgr.o simulator/filesnapshotmgr.o simulator/lex.ned2yy.o simulator/ccoroutine.o simulator/unitconversion.o simulator/cdefaultlist.o simulator/util.o simulator/commonutil.o simulator/cscheduler.o simulator/nedfunctions.o simulator/cmersennetwister.o simulator/sim_std_m.o simulator/filereader.o simulator/stringpool.o simulator/cmdenv.o simulator/fileoutvectormgr.o simulator/cpsquare.o simulator/indexedfileoutvectormgr.o simulator/in tervals.o model/MACRelayUnitBase.o model/Ieee802Ctrl_m.o model/EtherEncap.o model/MACAddress.o model/EtherLLC.o model/EtherFrame_m.o model/EtherMAC.o model/MACRelayUnitNP.o model/EtherApp_m.o model/EtherMACBase.o model/EtherAppSrv.o model/MACRelayUnitPP.o model/EtherHub.o model/EtherAppCli.o -o omnetpp_s simulator/expr.tab.hh:41:9: warning: type ‘yytokentype’ violates the C++ One Definition Rule [-Wodr] 41 | enum yytokentype { | ^ simulator/msg2.tab.hh:41:9: note: an enum with different value name is defined in another translation unit 41 | enum yytokentype { | ^ simulator/expr.tab.hh:42:6: note: name ‘DOUBLETYPE’ differs from name ‘NAMESPACE’ defined in another translation unit 42 | DOUBLETYPE = 258, | ^ simulator/msg2.tab.hh:42:6: note: mismatching definition 42 | NAMESPACE = 258, | ^ lto-wrapper: warning: using serial compilation of 36 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information simulator/matchexpression.tab.cc: In function ‘matchexpressionyyparse.isra’: simulator/matchexpression.tab.cc:1444:44: warning: argument 1 value ‘18446744073709551615’ exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] 1444 | yymsg = (char *) YYSTACK_ALLOC (yyalloc); | ^ /usr/include/stdlib.h:539:14: note: in a call to allocation function ‘malloc’ declared here 539 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ __wur; | ^ expr.tab.cc: In function ‘expryyparse.isra’: expr.tab.cc:2034:37: warning: argument 1 value ‘18446744073709551615’ exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] /usr/include/stdlib.h:539:14: note: in a call to allocation function ‘malloc’ declared here 539 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ __wur; | ^ expression.tab.cc: In function ‘expressionyyparse.isra’: expression.tab.cc:1788:37: warning: argument 1 value ‘18446744073709551615’ exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] /usr/include/stdlib.h:539:14: note: in a call to allocation function ‘malloc’ declared here 539 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ __wur; | ^ Stop make command: 2021-07-08 15:11:58 (1625771518.63389) Elapsed time for make command: 00:01:35 (95.424595117569) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:11:58 (1625771518.63683) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:11:58 (1625771518.79776) Elapsed time for options command: 00:00:00 (0.160929918289185) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:11:58 (1625771518.80113) CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:11:58 (1625771518.96942) Elapsed time for compiler-version command: 00:00:00 (0.168295860290527) Compile for '620.omnetpp_s' ended at: 2021-07-08 15:11:58 (1625771518) Elapsed compile for '620.omnetpp_s': 00:01:35 (95) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/exe/xalancbmk_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/exe/xalancbmk_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 623.xalancbmk_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:11:59] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=623 NAME=xalancbmk_s SOURCES= AIXPlatformUtils.cpp CSetDefs.cpp Win32PlatformUtils.cpp \ Win32MsgLoader.cpp Win32TransService.cpp Win32TransService2.cpp \ SunCCDefs.cpp SolarisPlatformUtils.cpp GCCDefs.cpp \ LinuxPlatformUtils.cpp MIPSproDefs.cpp IRIXPlatformUtils.cpp \ HPCCDefs.cpp HPPlatformUtils.cpp ASCIIRangeFactory.cpp AVT.cpp \ AVTPart.cpp AVTPartSimple.cpp AVTPartXPath.cpp AbstractDOMParser.cpp \ AbstractNumericFacetValidator.cpp AbstractNumericValidator.cpp \ AbstractStringValidator.cpp AllContentModel.cpp \ AnySimpleTypeDatatypeValidator.cpp AnyURIDatatypeValidator.cpp \ AttrImpl.cpp AttrMapImpl.cpp AttrNSImpl.cpp AttributeListImpl.cpp \ AttributesImpl.cpp BMPattern.cpp Base64.cpp \ Base64BinaryDatatypeValidator.cpp BinFileInputStream.cpp \ BinFileOutputStream.cpp BinInputStream.cpp BinMemInputStream.cpp \ BinMemOutputStream.cpp BinOutputStream.cpp BitSet.cpp \ BlockRangeFactory.cpp BooleanDatatypeValidator.cpp CDATASectionImpl.cpp \ CMAny.cpp CMBinaryOp.cpp CMUnaryOp.cpp CharToken.cpp \ CharacterDataImpl.cpp ChildNode.cpp ClosureToken.cpp CommentImpl.cpp \ ComplexTypeInfo.cpp ConcatToken.cpp ConditionToken.cpp Constants.cpp \ ContentLeafNameTypeVector.cpp ContentSpecNode.cpp CountersTable.cpp \ DFAContentModel.cpp DGXMLScanner.cpp DOMAttrImpl.cpp DOMAttrMapImpl.cpp \ DOMAttrNSImpl.cpp DOMBuilderImpl.cpp DOMCDATASectionImpl.cpp \ DOMCharacterDataImpl.cpp DOMChildNode.cpp DOMCommentImpl.cpp \ DOMConfigurationImpl.cpp DOMDeepNodeListImpl.cpp \ DOMDocumentFragmentImpl.cpp DOMDocumentImpl.cpp DOMDocumentTypeImpl.cpp \ DOMElementImpl.cpp DOMElementNSImpl.cpp DOMEntityImpl.cpp \ DOMEntityReferenceImpl.cpp DOMErrorImpl.cpp DOMException.cpp \ DOMImplementationImpl.cpp DOMImplementationRegistry.cpp \ DOMLocatorImpl.cpp DOMNamedNodeMapImpl.cpp DOMNodeIDMap.cpp \ DOMNodeImpl.cpp DOMNodeIteratorImpl.cpp DOMNodeListImpl.cpp \ DOMNodeVector.cpp DOMNormalizer.cpp DOMNotationImpl.cpp \ DOMParentNode.cpp DOMParser.cpp DOMProcessingInstructionImpl.cpp \ DOMRangeException.cpp DOMRangeImpl.cpp DOMServices.cpp DOMString.cpp \ DOMStringHelper.cpp DOMStringPool.cpp DOMStringPrintWriter.cpp \ DOMSupport.cpp DOMSupportDefault.cpp DOMSupportException.cpp \ DOMSupportInit.cpp DOMTextImpl.cpp DOMTreeWalkerImpl.cpp \ DOMTypeInfoImpl.cpp DOMWriterImpl.cpp DOMXPathException.cpp DOM_Attr.cpp \ DOM_CDATASection.cpp DOM_CharacterData.cpp DOM_Comment.cpp \ DOM_DOMException.cpp DOM_DOMImplementation.cpp DOM_Document.cpp \ DOM_DocumentFragment.cpp DOM_DocumentType.cpp DOM_Element.cpp \ DOM_Entity.cpp DOM_EntityReference.cpp DOM_NamedNodeMap.cpp DOM_Node.cpp \ DOM_NodeFilter.cpp DOM_NodeIterator.cpp DOM_NodeList.cpp \ DOM_Notation.cpp DOM_ProcessingInstruction.cpp DOM_Range.cpp \ DOM_RangeException.cpp DOM_Text.cpp DOM_TreeWalker.cpp DOM_XMLDecl.cpp \ DStringPool.cpp DTDAttDef.cpp DTDAttDefList.cpp DTDElementDecl.cpp \ DTDEntityDecl.cpp DTDGrammar.cpp DTDScanner.cpp DTDValidator.cpp \ DatatypeValidator.cpp DatatypeValidatorFactory.cpp \ DateDatatypeValidator.cpp DateTimeDatatypeValidator.cpp \ DateTimeValidator.cpp DayDatatypeValidator.cpp \ DecimalDatatypeValidator.cpp DeepNodeListImpl.cpp \ DefaultPanicHandler.cpp DocumentFragmentImpl.cpp DocumentImpl.cpp \ DocumentTypeImpl.cpp DomMemDebug.cpp DoubleDatatypeValidator.cpp \ DoubleSupport.cpp Dummy.cpp DurationDatatypeValidator.cpp \ ENTITYDatatypeValidator.cpp ElemApplyImport.cpp ElemApplyTemplates.cpp \ ElemAttribute.cpp ElemAttributeSet.cpp ElemCallTemplate.cpp \ ElemChoose.cpp ElemComment.cpp ElemCopy.cpp ElemCopyOf.cpp \ ElemDecimalFormat.cpp ElemElement.cpp ElemEmpty.cpp \ ElemExtensionCall.cpp ElemFallback.cpp ElemForEach.cpp \ ElemForwardCompatible.cpp ElemIf.cpp ElemLiteralResult.cpp \ ElemMessage.cpp ElemNumber.cpp ElemOtherwise.cpp ElemPI.cpp \ ElemParam.cpp ElemSort.cpp ElemStack.cpp ElemTemplate.cpp \ ElemTemplateElement.cpp ElemText.cpp ElemTextLiteral.cpp ElemUse.cpp \ ElemValueOf.cpp ElemVariable.cpp ElemWhen.cpp ElemWithParam.cpp \ ElementDefinitionImpl.cpp ElementImpl.cpp ElementNSImpl.cpp \ ElementPrefixResolverProxy.cpp EncodingValidator.cpp EntityImpl.cpp \ EntityReferenceImpl.cpp ExecutionContext.cpp \ ExtensionFunctionHandler.cpp ExtensionNSHandler.cpp FieldActivator.cpp \ FieldValueMap.cpp FileHandleImpl.cpp FloatDatatypeValidator.cpp \ FormatterListener.cpp FormatterStringLengthCounter.cpp \ FormatterToDOM.cpp FormatterToDeprecatedXercesDOM.cpp \ FormatterToHTML.cpp FormatterToNull.cpp FormatterToSourceTree.cpp \ FormatterToText.cpp FormatterToXML.cpp FormatterToXercesDOM.cpp \ FormatterTreeWalker.cpp Function.cpp FunctionConcat.cpp \ FunctionContains.cpp FunctionCurrent.cpp FunctionDifference.cpp \ FunctionDistinct.cpp FunctionDocument.cpp FunctionElementAvailable.cpp \ FunctionEvaluate.cpp FunctionFormatNumber.cpp \ FunctionFunctionAvailable.cpp FunctionGenerateID.cpp \ FunctionHasSameNodes.cpp FunctionID.cpp FunctionIntersection.cpp \ FunctionKey.cpp FunctionLang.cpp FunctionNamespaceURI.cpp \ FunctionNodeSet.cpp FunctionNormalizeSpace.cpp FunctionStartsWith.cpp \ FunctionString.cpp FunctionSubstring.cpp FunctionSubstringAfter.cpp \ FunctionSubstringBefore.cpp FunctionSystemProperty.cpp \ FunctionTranslate.cpp FunctionUnparsedEntityURI.cpp \ GeneralAttributeCheck.cpp GenerateEvent.cpp Grammar.cpp \ GrammarResolver.cpp HashPtr.cpp HashXMLCh.cpp HeaderDummy.cpp HexBin.cpp \ HexBinaryDatatypeValidator.cpp ICUResHandler.cpp IC_Field.cpp IC_Key.cpp \ IC_KeyRef.cpp IC_Selector.cpp IC_Unique.cpp IDDatatypeValidator.cpp \ IDREFDatatypeValidator.cpp IGXMLScanner.cpp IGXMLScanner2.cpp \ IconvTransService.cpp IdentityConstraint.cpp \ IdentityConstraintHandler.cpp InMemHandler.cpp InMemMsgLoader.cpp \ InputSource.cpp KVStringPair.cpp KeyTable.cpp ListDatatypeValidator.cpp \ LocalFileFormatTarget.cpp LocalFileInputSource.cpp Match.cpp \ MemBufFormatTarget.cpp MemBufInputSource.cpp MemoryManagerArrayImpl.cpp \ MemoryManagerImpl.cpp MixedContentModel.cpp ModifierToken.cpp \ MonthDatatypeValidator.cpp MonthDayDatatypeValidator.cpp \ MsgFileOutputStream.cpp MutableNodeRefList.cpp Mutexes.cpp \ NCNameDatatypeValidator.cpp NLSHandler.cpp NOTATIONDatatypeValidator.cpp \ NameDatatypeValidator.cpp NamedNodeMapAttributeList.cpp \ NamedNodeMapImpl.cpp NamespaceScope.cpp NamespacesHandler.cpp \ NodeIDMap.cpp NodeImpl.cpp NodeIteratorImpl.cpp NodeListImpl.cpp \ NodeNameTreeWalker.cpp NodeRefList.cpp NodeRefListBase.cpp \ NodeSortKey.cpp NodeSorter.cpp NodeVector.cpp NotationImpl.cpp \ NullPrintWriter.cpp Op.cpp OpFactory.cpp OutputContextStack.cpp \ PSVIAttribute.cpp PSVIAttributeList.cpp PSVIElement.cpp PSVIItem.cpp \ PanicHandler.cpp ParenToken.cpp ParentNode.cpp ParserForXMLSchema.cpp \ PlatformSupportInit.cpp PlatformUtils.cpp PrefixResolver.cpp \ PrintWriter.cpp ProblemListener.cpp ProblemListenerDefault.cpp \ ProcessingInstructionImpl.cpp QName.cpp QNameDatatypeValidator.cpp \ RangeFactory.cpp RangeImpl.cpp RangeToken.cpp RangeTokenMap.cpp \ ReaderMgr.cpp RefCountedImpl.cpp RegularExpression.cpp RegxParser.cpp \ RegxUtil.cpp Resettable.cpp ResultNamespacesStack.cpp SAX2Handler.cpp \ SAX2XMLFilterImpl.cpp SAX2XMLReaderImpl.cpp SAXException.cpp \ SAXParseException.cpp SAXParser.cpp SGXMLScanner.cpp SchemaAttDef.cpp \ SchemaAttDefList.cpp SchemaElementDecl.cpp SchemaGrammar.cpp \ SchemaInfo.cpp SchemaSymbols.cpp SchemaValidator.cpp SelectionEvent.cpp \ SimpleContentModel.cpp StdBinInputStream.cpp StdInInputSource.cpp \ StdOutFormatTarget.cpp StringDatatypeValidator.cpp StringPool.cpp \ StringToken.cpp StringTokenizer.cpp Stylesheet.cpp \ StylesheetConstructionContext.cpp \ StylesheetConstructionContextDefault.cpp StylesheetExecutionContext.cpp \ StylesheetExecutionContextDefault.cpp StylesheetHandler.cpp \ StylesheetRoot.cpp SubstitutionGroupComparator.cpp \ SynchronizedStringPool.cpp TextImpl.cpp TimeDatatypeValidator.cpp \ Token.cpp TokenFactory.cpp TopLevelArg.cpp TraceListener.cpp \ TraceListenerDefault.cpp TracerEvent.cpp TransService.cpp \ TraverseSchema.cpp TreeWalker.cpp TreeWalkerImpl.cpp URISupport.cpp \ URLInputSource.cpp UnicodeRangeFactory.cpp UnionDatatypeValidator.cpp \ UnionToken.cpp ValidationContextImpl.cpp ValueStore.cpp \ ValueStoreCache.cpp VariablesStack.cpp VecAttrListImpl.cpp \ VecAttributesImpl.cpp WFXMLScanner.cpp Wrapper4DOMInputSource.cpp \ Wrapper4InputSource.cpp Writer.cpp XBoolean.cpp \ XML256TableTranscoder.cpp XML256TableTranscoder390.cpp \ XML88591Transcoder.cpp XML88591Transcoder390.cpp XMLASCIITranscoder.cpp \ XMLASCIITranscoder390.cpp XMLAbstractDoubleFloat.cpp XMLAttDef.cpp \ XMLAttDefList.cpp XMLAttr.cpp XMLBigDecimal.cpp XMLBigInteger.cpp \ XMLBuffer.cpp XMLBufferMgr.cpp XMLCanRepGroup.cpp XMLChTranscoder.cpp \ XMLChar.cpp XMLContentModel.cpp XMLDTDDescription.cpp \ XMLDTDDescriptionImpl.cpp XMLDateTime.cpp XMLDeclImpl.cpp XMLDouble.cpp \ XMLEBCDICTranscoder.cpp XMLEBCDICTranscoder390.cpp XMLElementDecl.cpp \ XMLEntityDecl.cpp XMLException.cpp XMLFloat.cpp XMLFormatter.cpp \ XMLGrammarDescription.cpp XMLGrammarPoolImpl.cpp \ XMLIBM1047Transcoder.cpp XMLIBM1047Transcoder390.cpp \ XMLIBM1140Transcoder.cpp XMLIBM1140Transcoder390.cpp XMLInitializer.cpp \ XMLMsgLoader.cpp XMLNotationDecl.cpp XMLNumber.cpp XMLParserLiaison.cpp \ XMLRangeFactory.cpp XMLReader.cpp XMLRecognizer.cpp XMLRefInfo.cpp \ XMLRegisterCleanup.cpp XMLScanner.cpp XMLScannerResolver.cpp \ XMLSchemaDescription.cpp XMLSchemaDescriptionImpl.cpp XMLString.cpp \ XMLStringTokenizer.cpp XMLSupportException.cpp XMLSupportInit.cpp \ XMLUCSTranscoder.cpp XMLURL.cpp XMLUTF16Transcoder.cpp \ XMLUTF8Transcoder.cpp XMLUTF8Transcoder390.cpp XMLUni.cpp \ XMLUniCharacter.cpp XMLUri.cpp XMLValidator.cpp XMLWin1252Transcoder.cpp \ XMLWin1252Transcoder390.cpp XMemory.cpp XNodeSet.cpp \ XNodeSetAllocator.cpp XNodeSetBase.cpp XNodeSetNodeProxy.cpp \ XNodeSetNodeProxyAllocator.cpp XNodeSetResultTreeFragProxy.cpp XNull.cpp \ XNumber.cpp XNumberAllocator.cpp XNumberBase.cpp XObject.cpp \ XObjectFactory.cpp XObjectFactoryDefault.cpp \ XObjectResultTreeFragProxy.cpp XObjectResultTreeFragProxyBase.cpp \ XObjectResultTreeFragProxyText.cpp XObjectTypeCallback.cpp XPath.cpp \ XPathAllocator.cpp XPathCAPI.cpp XPathConstructionContext.cpp \ XPathConstructionContextDefault.cpp XPathEnvSupport.cpp \ XPathEnvSupportDefault.cpp XPathEvaluator.cpp XPathExecutionContext.cpp \ XPathExecutionContextDefault.cpp XPathExpression.cpp XPathFactory.cpp \ XPathFactoryBlock.cpp XPathFactoryDefault.cpp XPathFunctionTable.cpp \ XPathInit.cpp XPathMatcher.cpp XPathMatcherStack.cpp \ XPathParserException.cpp XPathProcessor.cpp XPathProcessorImpl.cpp \ XPathSymbols.cpp XProtoType.cpp XResultTreeFrag.cpp \ XResultTreeFragAllocator.cpp XSAXMLScanner.cpp XSAnnotation.cpp \ XSAttributeDeclaration.cpp XSAttributeGroupDefinition.cpp \ XSAttributeUse.cpp XSComplexTypeDefinition.cpp XSDDOMParser.cpp \ XSDElementNSImpl.cpp XSDErrorReporter.cpp XSDLocator.cpp \ XSElementDeclaration.cpp XSFacet.cpp XSIDCDefinition.cpp \ XSLException.cpp XSLTEngineImpl.cpp XSLTInit.cpp XSLTInputSource.cpp \ XSLTProcessor.cpp XSLTProcessorEnvSupport.cpp \ XSLTProcessorEnvSupportDefault.cpp XSLTProcessorException.cpp \ XSLTResultTarget.cpp XSModel.cpp XSModelGroup.cpp \ XSModelGroupDefinition.cpp XSMultiValueFacet.cpp XSNamespaceItem.cpp \ XSNotationDeclaration.cpp XSObject.cpp XSObjectFactory.cpp \ XSParticle.cpp XSSimpleTypeDefinition.cpp XSTypeDefinition.cpp \ XSValue.cpp XSWildcard.cpp XSerializeEngine.cpp XSpan.cpp XString.cpp \ XStringAdapter.cpp XStringAdapterAllocator.cpp XStringAllocator.cpp \ XStringBase.cpp XStringCached.cpp XStringCachedAllocator.cpp \ XStringReference.cpp XStringReferenceAllocator.cpp \ XTemplateSerializer.cpp XToken.cpp XTokenNumberAdapter.cpp \ XTokenNumberAdapterAllocator.cpp XTokenStringAdapter.cpp \ XTokenStringAdapterAllocator.cpp XUnknown.cpp XUtil.cpp \ XalanAVTAllocator.cpp XalanAVTPartSimpleAllocator.cpp \ XalanAVTPartXPathAllocator.cpp XalanAttr.cpp XalanBitmap.cpp \ XalanCAPI.cpp XalanCDataSection.cpp XalanCharacterData.cpp \ XalanComment.cpp XalanCompiledStylesheetDefault.cpp \ XalanDOMException.cpp XalanDOMImplementation.cpp XalanDOMInit.cpp \ XalanDOMString.cpp XalanDOMStringAllocator.cpp XalanDOMStringCache.cpp \ XalanDOMStringHashTable.cpp XalanDOMStringPool.cpp \ XalanDOMStringReusableAllocator.cpp XalanDecimalFormatSymbols.cpp \ XalanDefaultDocumentBuilder.cpp XalanDefaultParsedSource.cpp \ XalanDiagnosticMemoryManager.cpp XalanDocument.cpp \ XalanDocumentFragment.cpp XalanDocumentFragmentNodeRefListBaseProxy.cpp \ XalanDocumentPrefixResolver.cpp XalanDocumentType.cpp \ XalanEXSLTCommon.cpp XalanEXSLTDateTime.cpp XalanEXSLTDynamic.cpp \ XalanEXSLTMath.cpp XalanEXSLTSet.cpp XalanEXSLTString.cpp \ XalanElemApplyTemplatesAllocator.cpp XalanElemAttributeAllocator.cpp \ XalanElemAttributeSetAllocator.cpp XalanElemCallTemplateAllocator.cpp \ XalanElemElementAllocator.cpp XalanElemEmptyAllocator.cpp \ XalanElemLiteralResultAllocator.cpp XalanElemTemplateAllocator.cpp \ XalanElemTextAllocator.cpp XalanElemTextLiteralAllocator.cpp \ XalanElemValueOfAllocator.cpp XalanElemVariableAllocator.cpp \ XalanElement.cpp XalanEmptyNamedNodeMap.cpp \ XalanEncodingPropertyCache.cpp XalanEntity.cpp XalanEntityReference.cpp \ XalanExe.cpp XalanExtensions.cpp XalanFStreamOutputStream.cpp \ XalanFileOutputStream.cpp XalanFileUtility.cpp \ XalanHTMLElementsProperties.cpp XalanICUMessageLoader.cpp \ XalanInMemoryMessageLoader.cpp XalanMatchPatternData.cpp \ XalanMatchPatternDataAllocator.cpp XalanMemoryManagement.cpp \ XalanMemoryManagerDefault.cpp XalanMessageLoader.cpp XalanMsgLib.cpp \ XalanNLSMessageLoader.cpp XalanNamedNodeMap.cpp XalanNamespacesStack.cpp \ XalanNode.cpp XalanNodeList.cpp XalanNodeListDummy.cpp \ XalanNodeListSurrogate.cpp XalanNotation.cpp XalanNullOutputStream.cpp \ XalanNumberFormat.cpp XalanNumberingResourceBundle.cpp \ XalanOutputStream.cpp XalanOutputStreamPrintWriter.cpp \ XalanParsedSource.cpp XalanParsedURI.cpp XalanProcessingInstruction.cpp \ XalanQName.cpp XalanQNameByReference.cpp XalanQNameByValue.cpp \ XalanQNameByValueAllocator.cpp XalanReferenceCountedObject.cpp \ XalanSimplePrefixResolver.cpp XalanSourceTreeAttr.cpp \ XalanSourceTreeAttrNS.cpp XalanSourceTreeAttributeAllocator.cpp \ XalanSourceTreeAttributeNSAllocator.cpp XalanSourceTreeComment.cpp \ XalanSourceTreeCommentAllocator.cpp XalanSourceTreeContentHandler.cpp \ XalanSourceTreeDOMSupport.cpp XalanSourceTreeDocument.cpp \ XalanSourceTreeDocumentAllocator.cpp XalanSourceTreeDocumentFragment.cpp \ XalanSourceTreeDocumentFragmentAllocator.cpp XalanSourceTreeElement.cpp \ XalanSourceTreeElementA.cpp XalanSourceTreeElementAAllocator.cpp \ XalanSourceTreeElementANS.cpp XalanSourceTreeElementANSAllocator.cpp \ XalanSourceTreeElementNA.cpp XalanSourceTreeElementNAAllocator.cpp \ XalanSourceTreeElementNANS.cpp XalanSourceTreeElementNANSAllocator.cpp \ XalanSourceTreeHelper.cpp XalanSourceTreeInit.cpp \ XalanSourceTreeParserLiaison.cpp \ XalanSourceTreeProcessingInstruction.cpp \ XalanSourceTreeProcessingInstructionAllocator.cpp \ XalanSourceTreeText.cpp XalanSourceTreeTextAllocator.cpp \ XalanSourceTreeTextIWS.cpp XalanSourceTreeTextIWSAllocator.cpp \ XalanSourceTreeWrapperParsedSource.cpp XalanSpaceNodeTester.cpp \ XalanStdOutputStream.cpp XalanText.cpp \ XalanToXercesTranscoderWrapper.cpp XalanTranscodingServices.cpp \ XalanTransformer.cpp XalanTransformerOutputStream.cpp \ XalanTransformerProblemListener.cpp XalanUTF16Transcoder.cpp \ XalanUTF16Writer.cpp XalanUTF8Writer.cpp XalanXMLChar.cpp \ XalanXMLFileReporter.cpp XalanXMLSerializerBase.cpp \ XalanXMLSerializerFactory.cpp XalanXPathException.cpp \ XercesAttGroupInfo.cpp XercesAttrBridge.cpp XercesAttrWrapper.cpp \ XercesAttrWrapperAllocator.cpp XercesAttributeBridgeAllocator.cpp \ XercesBridgeHelper.cpp XercesBridgeNavigator.cpp \ XercesCDATASectionBridge.cpp XercesCDATASectionWrapper.cpp \ XercesCommentBridge.cpp XercesCommentWrapper.cpp XercesDOMException.cpp \ XercesDOMFormatterWalker.cpp XercesDOMImplementationBridge.cpp \ XercesDOMImplementationWrapper.cpp XercesDOMParsedSource.cpp \ XercesDOMParser.cpp XercesDOMSupport.cpp XercesDOMWalker.cpp \ XercesDOMWrapperException.cpp XercesDOMWrapperParsedSource.cpp \ XercesDOM_NodeHack.cpp XercesDocumentBridge.cpp \ XercesDocumentFragmentBridge.cpp XercesDocumentTypeBridge.cpp \ XercesDocumentTypeWrapper.cpp XercesDocumentWrapper.cpp \ XercesElementBridge.cpp XercesElementBridgeAllocator.cpp \ XercesElementWildcard.cpp XercesElementWrapper.cpp \ XercesElementWrapperAllocator.cpp XercesEntityBridge.cpp \ XercesEntityReferenceBridge.cpp XercesEntityReferenceWrapper.cpp \ XercesEntityWrapper.cpp XercesGroupInfo.cpp \ XercesLiaisonXalanDOMStringPool.cpp XercesNamedNodeMapAttributeList.cpp \ XercesNamedNodeMapBridge.cpp XercesNamedNodeMapWrapper.cpp \ XercesNodeListBridge.cpp XercesNodeListWrapper.cpp \ XercesNotationBridge.cpp XercesNotationWrapper.cpp \ XercesParserLiaison.cpp XercesProcessingInstructionBridge.cpp \ XercesProcessingInstructionWrapper.cpp XercesTextBridge.cpp \ XercesTextBridgeAllocator.cpp XercesTextWrapper.cpp \ XercesTextWrapperAllocator.cpp XercesToXalanNodeMap.cpp \ XercesTreeWalker.cpp XercesWrapperHelper.cpp XercesWrapperNavigator.cpp \ XercesWrapperNavigatorAllocator.cpp XercesWrapperToXalanNodeMap.cpp \ XercesXPath.cpp YearDatatypeValidator.cpp YearMonthDatatypeValidator.cpp EXEBASE=xalancbmk_s NEED_MATH= BENCHLANG=CXX BENCH_CXXFLAGS = -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix PORTABILITY = -DSPEC_LINUX SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = xalancbmk_s basepeak = 0 benchdir = benchspec benchmark = 623.xalancbmk_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = xalancbmk_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 lognum = 043.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = xalancbmk_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:05:45 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.663 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 623 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 --lognum 043.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/523.xalancbmk_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = train-allbooks.out Compile for '623.xalancbmk_s' started at: 2021-07-08 15:11:59 (1625771519) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:11:59 (1625771519.64887) rm -rf *.o train-allbooks.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf xalancbmk_s rm -rf xalancbmk_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:11:59 (1625771519.81512) Elapsed time for make.clean command: 00:00:00 (0.166253089904785) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:11:59 (1625771519.81808) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AIXPlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AIXPlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CSetDefs.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CSetDefs.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Win32PlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Win32PlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Win32MsgLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Win32MsgLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Win32TransService.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Win32TransService.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Win32TransService2.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Win32TransService2.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SunCCDefs.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SunCCDefs.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SolarisPlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SolarisPlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GCCDefs.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GCCDefs.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o LinuxPlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LinuxPlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MIPSproDefs.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MIPSproDefs.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IRIXPlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IRIXPlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HPCCDefs.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HPCCDefs.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HPPlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HPPlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ASCIIRangeFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ASCIIRangeFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AVT.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AVT.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AVTPart.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AVTPart.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AVTPartSimple.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AVTPartSimple.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AVTPartXPath.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AVTPartXPath.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AbstractDOMParser.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AbstractDOMParser.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AbstractNumericFacetValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AbstractNumericFacetValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AbstractNumericValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AbstractNumericValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AbstractStringValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AbstractStringValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AllContentModel.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AllContentModel.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AnySimpleTypeDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AnySimpleTypeDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AnyURIDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AnyURIDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AttrImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AttrImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AttrMapImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AttrMapImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AttrNSImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AttrNSImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AttributeListImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AttributeListImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o AttributesImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 AttributesImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BMPattern.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BMPattern.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Base64.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Base64.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Base64BinaryDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Base64BinaryDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BinFileInputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BinFileInputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BinFileOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BinFileOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BinInputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BinInputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BinMemInputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BinMemInputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BinMemOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BinMemOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BinOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BinOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BitSet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BitSet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BlockRangeFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BlockRangeFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o BooleanDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 BooleanDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CDATASectionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CDATASectionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CMAny.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CMAny.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CMBinaryOp.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CMBinaryOp.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CMUnaryOp.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CMUnaryOp.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CharToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CharToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CharacterDataImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CharacterDataImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ChildNode.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ChildNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ClosureToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ClosureToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CommentImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CommentImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ComplexTypeInfo.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ComplexTypeInfo.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ConcatToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ConcatToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ConditionToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ConditionToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Constants.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Constants.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ContentLeafNameTypeVector.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ContentLeafNameTypeVector.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ContentSpecNode.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ContentSpecNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o CountersTable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CountersTable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DFAContentModel.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DFAContentModel.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DGXMLScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DGXMLScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMAttrImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMAttrImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMAttrMapImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMAttrMapImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMAttrNSImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMAttrNSImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMBuilderImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMBuilderImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMCDATASectionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMCDATASectionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMCharacterDataImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMCharacterDataImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMChildNode.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMChildNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMCommentImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMCommentImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMConfigurationImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMConfigurationImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMDeepNodeListImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMDeepNodeListImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMDocumentFragmentImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMDocumentFragmentImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMDocumentImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMDocumentImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMDocumentTypeImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMDocumentTypeImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMElementImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMElementImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMElementNSImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMElementNSImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMEntityImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMEntityImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMEntityReferenceImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMEntityReferenceImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMErrorImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMErrorImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMImplementationImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMImplementationImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMImplementationRegistry.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMImplementationRegistry.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMLocatorImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMLocatorImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNamedNodeMapImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNamedNodeMapImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNodeIDMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNodeIDMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNodeImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNodeImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNodeIteratorImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNodeIteratorImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNodeListImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNodeListImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNodeVector.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNodeVector.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNormalizer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNormalizer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMNotationImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMNotationImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMParentNode.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMParentNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMParser.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMParser.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMProcessingInstructionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMProcessingInstructionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMRangeException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMRangeException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMRangeImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMRangeImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMServices.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMServices.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMString.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMString.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMStringHelper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMStringHelper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMStringPool.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMStringPool.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMStringPrintWriter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMStringPrintWriter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMSupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMSupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMSupportDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMSupportDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMSupportException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMSupportException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMSupportInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMSupportInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMTextImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMTextImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMTreeWalkerImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMTreeWalkerImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMTypeInfoImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMTypeInfoImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMWriterImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMWriterImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOMXPathException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOMXPathException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Attr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Attr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_CDATASection.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_CDATASection.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_CharacterData.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_CharacterData.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Comment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Comment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_DOMException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_DOMException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_DOMImplementation.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_DOMImplementation.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Document.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Document.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_DocumentFragment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_DocumentFragment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_DocumentType.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_DocumentType.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Element.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Element.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Entity.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Entity.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_EntityReference.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_EntityReference.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_NamedNodeMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_NamedNodeMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Node.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Node.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_NodeFilter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_NodeFilter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_NodeIterator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_NodeIterator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_NodeList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_NodeList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Notation.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Notation.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_ProcessingInstruction.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_ProcessingInstruction.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Range.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Range.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_RangeException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_RangeException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_Text.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_Text.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_TreeWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_TreeWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DOM_XMLDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DOM_XMLDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DStringPool.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DStringPool.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDAttDef.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDAttDef.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDAttDefList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDAttDefList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDElementDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDElementDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDEntityDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDEntityDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDGrammar.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDGrammar.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DTDValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DTDValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DatatypeValidatorFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DatatypeValidatorFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DateDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DateDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DateTimeDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DateTimeDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DateTimeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DateTimeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DayDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DayDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DecimalDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DecimalDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DeepNodeListImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DeepNodeListImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DefaultPanicHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DefaultPanicHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DocumentFragmentImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DocumentFragmentImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DocumentImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DocumentImpl.cpp In file included from ./xercesc/util/RefHashTableOf.hpp:257, from xercesc/dom/deprecated/DocumentImpl.hpp:38, from DocumentImpl.cpp:25: ./xercesc/util/RefHashTableOf.c: In instantiation of ‘void xercesc_2_7::RefHashTableOf::removeKey(const void*) [with TVal = void]’: DocumentImpl.cpp:798:26: required from here ./xercesc/util/RefHashTableOf.c:166:33: warning: deleting ‘void*’ is undefined [-Wdelete-incomplete] 166 | delete curElem->fData; | ~~~~~~~~~^~~~~ ./xercesc/util/RefHashTableOf.c: In instantiation of ‘void xercesc_2_7::RefHashTableOf::put(void*, TVal*) [with TVal = void]’: DocumentImpl.cpp:800:20: required from here ./xercesc/util/RefHashTableOf.c:404:31: warning: deleting ‘void*’ is undefined [-Wdelete-incomplete] 404 | delete newBucket->fData; | ~~~~~~~~~~~^~~~~ ./xercesc/util/RefHashTableOf.c: In instantiation of ‘void xercesc_2_7::RefHashTableOf::removeAll() [with TVal = void]’: ./xercesc/util/RefHashTableOf.c:289:5: required from ‘void xercesc_2_7::RefHashTableOf::cleanup() [with TVal = void]’ ./xercesc/util/RefHashTableOf.c:112:5: required from ‘xercesc_2_7::RefHashTableOf::~RefHashTableOf() [with TVal = void]’ DocumentImpl.cpp:151:16: required from here ./xercesc/util/RefHashTableOf.c:209:33: warning: deleting ‘void*’ is undefined [-Wdelete-incomplete] 209 | delete curElem->fData; | ~~~~~~~~~^~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DocumentTypeImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DocumentTypeImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DomMemDebug.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DomMemDebug.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DoubleDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DoubleDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DoubleSupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DoubleSupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Dummy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Dummy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o DurationDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 DurationDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ENTITYDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ENTITYDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemApplyImport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemApplyImport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemApplyTemplates.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemApplyTemplates.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemAttribute.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemAttribute.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemAttributeSet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemAttributeSet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemCallTemplate.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemCallTemplate.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemChoose.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemChoose.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemComment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemComment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemCopy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemCopy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemCopyOf.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemCopyOf.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemDecimalFormat.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemDecimalFormat.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemElement.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemElement.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemEmpty.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemEmpty.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemExtensionCall.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemExtensionCall.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemFallback.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemFallback.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemForEach.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemForEach.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemForwardCompatible.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemForwardCompatible.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemIf.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemIf.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemLiteralResult.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemLiteralResult.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemMessage.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemMessage.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemNumber.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemNumber.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemOtherwise.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemOtherwise.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemPI.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemPI.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemParam.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemParam.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemSort.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemSort.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemStack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemStack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemTemplate.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemTemplate.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemTemplateElement.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemTemplateElement.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemText.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemText.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemTextLiteral.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemTextLiteral.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemUse.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemUse.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemValueOf.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemValueOf.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemVariable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemVariable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemWhen.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemWhen.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElemWithParam.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElemWithParam.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElementDefinitionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElementDefinitionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElementImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElementImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElementNSImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElementNSImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ElementPrefixResolverProxy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ElementPrefixResolverProxy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o EncodingValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 EncodingValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o EntityImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 EntityImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o EntityReferenceImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 EntityReferenceImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ExecutionContext.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ExecutionContext.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ExtensionFunctionHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ExtensionFunctionHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ExtensionNSHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ExtensionNSHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FieldActivator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FieldActivator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FieldValueMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FieldValueMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FileHandleImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FileHandleImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FloatDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FloatDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterListener.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterListener.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterStringLengthCounter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterStringLengthCounter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToDOM.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToDOM.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToDeprecatedXercesDOM.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToDeprecatedXercesDOM.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToHTML.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToHTML.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToNull.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToNull.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToSourceTree.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToSourceTree.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToText.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToText.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToXML.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToXML.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterToXercesDOM.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterToXercesDOM.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FormatterTreeWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FormatterTreeWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Function.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Function.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionConcat.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionConcat.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionContains.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionContains.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionCurrent.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionCurrent.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionDifference.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionDifference.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionDistinct.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionDistinct.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionDocument.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionDocument.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionElementAvailable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionElementAvailable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionEvaluate.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionEvaluate.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionFormatNumber.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionFormatNumber.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionFunctionAvailable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionFunctionAvailable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionGenerateID.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionGenerateID.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionHasSameNodes.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionHasSameNodes.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionID.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionID.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionIntersection.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionIntersection.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionKey.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionKey.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionLang.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionLang.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionNamespaceURI.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionNamespaceURI.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionNodeSet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionNodeSet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionNormalizeSpace.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionNormalizeSpace.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionStartsWith.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionStartsWith.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionString.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionString.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionSubstring.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionSubstring.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionSubstringAfter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionSubstringAfter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionSubstringBefore.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionSubstringBefore.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionSystemProperty.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionSystemProperty.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionTranslate.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionTranslate.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FunctionUnparsedEntityURI.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FunctionUnparsedEntityURI.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GeneralAttributeCheck.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GeneralAttributeCheck.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GenerateEvent.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GenerateEvent.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Grammar.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Grammar.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GrammarResolver.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GrammarResolver.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HashPtr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HashPtr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HashXMLCh.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HashXMLCh.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HeaderDummy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HeaderDummy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HexBin.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HexBin.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o HexBinaryDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 HexBinaryDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ICUResHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ICUResHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IC_Field.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IC_Field.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IC_Key.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IC_Key.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IC_KeyRef.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IC_KeyRef.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IC_Selector.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IC_Selector.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IC_Unique.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IC_Unique.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IDDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IDDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IDREFDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IDREFDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IGXMLScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IGXMLScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IGXMLScanner2.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IGXMLScanner2.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IconvTransService.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IconvTransService.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IdentityConstraint.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IdentityConstraint.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o IdentityConstraintHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IdentityConstraintHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o InMemHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 InMemHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o InMemMsgLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 InMemMsgLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o InputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 InputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o KVStringPair.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 KVStringPair.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o KeyTable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 KeyTable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ListDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ListDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o LocalFileFormatTarget.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalFileFormatTarget.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o LocalFileInputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalFileInputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Match.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Match.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MemBufFormatTarget.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MemBufFormatTarget.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MemBufInputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MemBufInputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MemoryManagerArrayImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MemoryManagerArrayImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MemoryManagerImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MemoryManagerImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MixedContentModel.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MixedContentModel.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ModifierToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ModifierToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MonthDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MonthDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MonthDayDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MonthDayDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MsgFileOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MsgFileOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MutableNodeRefList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MutableNodeRefList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Mutexes.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Mutexes.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NCNameDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NCNameDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NLSHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NLSHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NOTATIONDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NOTATIONDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NameDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NameDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NamedNodeMapAttributeList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NamedNodeMapAttributeList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NamedNodeMapImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NamedNodeMapImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NamespaceScope.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NamespaceScope.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NamespacesHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NamespacesHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeIDMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeIDMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeIteratorImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeIteratorImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeListImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeListImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeNameTreeWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeNameTreeWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeRefList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeRefList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeRefListBase.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeRefListBase.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeSortKey.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeSortKey.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeSorter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeSorter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NodeVector.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NodeVector.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NotationImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NotationImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o NullPrintWriter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 NullPrintWriter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Op.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Op.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o OpFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 OpFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o OutputContextStack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 OutputContextStack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PSVIAttribute.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PSVIAttribute.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PSVIAttributeList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PSVIAttributeList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PSVIElement.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PSVIElement.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PSVIItem.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PSVIItem.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PanicHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PanicHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ParenToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ParenToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ParentNode.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ParentNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ParserForXMLSchema.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ParserForXMLSchema.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PlatformSupportInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PlatformSupportInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PlatformUtils.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PlatformUtils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PrefixResolver.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PrefixResolver.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PrintWriter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PrintWriter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ProblemListener.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ProblemListener.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ProblemListenerDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ProblemListenerDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ProcessingInstructionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ProcessingInstructionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o QName.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 QName.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o QNameDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 QNameDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RangeFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RangeFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RangeImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RangeImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RangeToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RangeToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RangeTokenMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RangeTokenMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ReaderMgr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ReaderMgr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RefCountedImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RefCountedImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RegularExpression.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RegularExpression.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RegxParser.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RegxParser.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o RegxUtil.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 RegxUtil.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Resettable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Resettable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ResultNamespacesStack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ResultNamespacesStack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SAX2Handler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SAX2Handler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SAX2XMLFilterImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SAX2XMLFilterImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SAX2XMLReaderImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SAX2XMLReaderImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SAXException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SAXException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SAXParseException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SAXParseException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SAXParser.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SAXParser.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SGXMLScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SGXMLScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaAttDef.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaAttDef.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaAttDefList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaAttDefList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaElementDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaElementDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaGrammar.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaGrammar.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaInfo.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaInfo.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaSymbols.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaSymbols.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SchemaValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SchemaValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SelectionEvent.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SelectionEvent.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SimpleContentModel.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SimpleContentModel.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StdBinInputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StdBinInputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StdInInputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StdInInputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StdOutFormatTarget.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StdOutFormatTarget.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StringDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StringDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StringPool.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StringPool.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StringToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StringToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StringTokenizer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StringTokenizer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Stylesheet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Stylesheet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StylesheetConstructionContext.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StylesheetConstructionContext.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StylesheetConstructionContextDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StylesheetConstructionContextDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StylesheetExecutionContext.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StylesheetExecutionContext.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StylesheetExecutionContextDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StylesheetExecutionContextDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StylesheetHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StylesheetHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o StylesheetRoot.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StylesheetRoot.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SubstitutionGroupComparator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SubstitutionGroupComparator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SynchronizedStringPool.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SynchronizedStringPool.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TextImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TextImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TimeDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TimeDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Token.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Token.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TokenFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TokenFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TopLevelArg.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TopLevelArg.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TraceListener.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TraceListener.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TraceListenerDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TraceListenerDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TracerEvent.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TracerEvent.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TransService.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TransService.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TraverseSchema.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TraverseSchema.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TreeWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TreeWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TreeWalkerImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TreeWalkerImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o URISupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 URISupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o URLInputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 URLInputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o UnicodeRangeFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 UnicodeRangeFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o UnionDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 UnionDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o UnionToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 UnionToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ValidationContextImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ValidationContextImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ValueStore.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ValueStore.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ValueStoreCache.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ValueStoreCache.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o VariablesStack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 VariablesStack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o VecAttrListImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 VecAttrListImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o VecAttributesImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 VecAttributesImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o WFXMLScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 WFXMLScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Wrapper4DOMInputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Wrapper4DOMInputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Wrapper4InputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Wrapper4InputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Writer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Writer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XBoolean.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XBoolean.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XML256TableTranscoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XML256TableTranscoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XML256TableTranscoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XML256TableTranscoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XML88591Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XML88591Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XML88591Transcoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XML88591Transcoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLASCIITranscoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLASCIITranscoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLASCIITranscoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLASCIITranscoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLAbstractDoubleFloat.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLAbstractDoubleFloat.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLAttDef.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLAttDef.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLAttDefList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLAttDefList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLAttr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLAttr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLBigDecimal.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLBigDecimal.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLBigInteger.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLBigInteger.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLBuffer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLBuffer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLBufferMgr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLBufferMgr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLCanRepGroup.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLCanRepGroup.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLChTranscoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLChTranscoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLChar.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLChar.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLContentModel.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLContentModel.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLDTDDescription.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLDTDDescription.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLDTDDescriptionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLDTDDescriptionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLDateTime.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLDateTime.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLDeclImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLDeclImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLDouble.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLDouble.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLEBCDICTranscoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLEBCDICTranscoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLEBCDICTranscoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLEBCDICTranscoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLElementDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLElementDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLEntityDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLEntityDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLFloat.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLFloat.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLFormatter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLFormatter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLGrammarDescription.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLGrammarDescription.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLGrammarPoolImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLGrammarPoolImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLIBM1047Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLIBM1047Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLIBM1047Transcoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLIBM1047Transcoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLIBM1140Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLIBM1140Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLIBM1140Transcoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLIBM1140Transcoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLInitializer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLInitializer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLMsgLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLMsgLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLNotationDecl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLNotationDecl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLNumber.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLNumber.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLParserLiaison.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLParserLiaison.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLRangeFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLRangeFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLReader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLReader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLRecognizer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLRecognizer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLRefInfo.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLRefInfo.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLRegisterCleanup.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLRegisterCleanup.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLScannerResolver.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLScannerResolver.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLSchemaDescription.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLSchemaDescription.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLSchemaDescriptionImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLSchemaDescriptionImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLString.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLString.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLStringTokenizer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLStringTokenizer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLSupportException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLSupportException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLSupportInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLSupportInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUCSTranscoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUCSTranscoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLURL.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLURL.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUTF16Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUTF16Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUTF8Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUTF8Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUTF8Transcoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUTF8Transcoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUni.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUni.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUniCharacter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUniCharacter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLUri.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLUri.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLWin1252Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLWin1252Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMLWin1252Transcoder390.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMLWin1252Transcoder390.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XMemory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XMemory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNodeSet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNodeSet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNodeSetAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNodeSetAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNodeSetBase.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNodeSetBase.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNodeSetNodeProxy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNodeSetNodeProxy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNodeSetNodeProxyAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNodeSetNodeProxyAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNodeSetResultTreeFragProxy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNodeSetResultTreeFragProxy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNull.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNull.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNumber.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNumber.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNumberAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNumberAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XNumberBase.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XNumberBase.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObject.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObject.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObjectFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObjectFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObjectFactoryDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObjectFactoryDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObjectResultTreeFragProxy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObjectResultTreeFragProxy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObjectResultTreeFragProxyBase.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObjectResultTreeFragProxyBase.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObjectResultTreeFragProxyText.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObjectResultTreeFragProxyText.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XObjectTypeCallback.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XObjectTypeCallback.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPath.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPath.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathCAPI.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathCAPI.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathConstructionContext.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathConstructionContext.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathConstructionContextDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathConstructionContextDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathEnvSupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathEnvSupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathEnvSupportDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathEnvSupportDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathEvaluator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathEvaluator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathExecutionContext.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathExecutionContext.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathExecutionContextDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathExecutionContextDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathExpression.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathExpression.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathFactoryBlock.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathFactoryBlock.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathFactoryDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathFactoryDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathFunctionTable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathFunctionTable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathMatcher.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathMatcher.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathMatcherStack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathMatcherStack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathParserException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathParserException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathProcessor.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathProcessor.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathProcessorImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathProcessorImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XPathSymbols.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XPathSymbols.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XProtoType.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XProtoType.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XResultTreeFrag.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XResultTreeFrag.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XResultTreeFragAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XResultTreeFragAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSAXMLScanner.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSAXMLScanner.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSAnnotation.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSAnnotation.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSAttributeDeclaration.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSAttributeDeclaration.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSAttributeGroupDefinition.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSAttributeGroupDefinition.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSAttributeUse.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSAttributeUse.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSComplexTypeDefinition.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSComplexTypeDefinition.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSDDOMParser.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSDDOMParser.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSDElementNSImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSDElementNSImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSDErrorReporter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSDErrorReporter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSDLocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSDLocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSElementDeclaration.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSElementDeclaration.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSFacet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSFacet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSIDCDefinition.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSIDCDefinition.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTEngineImpl.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTEngineImpl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTInputSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTInputSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTProcessor.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTProcessor.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTProcessorEnvSupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTProcessorEnvSupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTProcessorEnvSupportDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTProcessorEnvSupportDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTProcessorException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTProcessorException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSLTResultTarget.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSLTResultTarget.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSModel.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSModel.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSModelGroup.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSModelGroup.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSModelGroupDefinition.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSModelGroupDefinition.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSMultiValueFacet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSMultiValueFacet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSNamespaceItem.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSNamespaceItem.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSNotationDeclaration.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSNotationDeclaration.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSObject.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSObject.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSObjectFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSObjectFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSParticle.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSParticle.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSSimpleTypeDefinition.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSSimpleTypeDefinition.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSTypeDefinition.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSTypeDefinition.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSValue.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSValue.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSWildcard.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSWildcard.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSerializeEngine.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSerializeEngine.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XSpan.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XSpan.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XString.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XString.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringAdapter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringAdapter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringAdapterAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringAdapterAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringBase.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringBase.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringCached.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringCached.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringCachedAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringCachedAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringReference.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringReference.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XStringReferenceAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XStringReferenceAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XTemplateSerializer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XTemplateSerializer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XToken.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XToken.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XTokenNumberAdapter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XTokenNumberAdapter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XTokenNumberAdapterAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XTokenNumberAdapterAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XTokenStringAdapter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XTokenStringAdapter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XTokenStringAdapterAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XTokenStringAdapterAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XUnknown.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XUnknown.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XUtil.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XUtil.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanAVTAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanAVTAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanAVTPartSimpleAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanAVTPartSimpleAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanAVTPartXPathAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanAVTPartXPathAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanAttr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanAttr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanBitmap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanBitmap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanCAPI.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanCAPI.cpp In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/backward/strstream:50, from XalanCAPI.cpp:28: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/backward/backward_warning.h:32:2: warning: #warning This file includes at least one deprecated or antiquated header which may be removed without further notice at a future date. Please use a non-deprecated interface with equivalent functionality instead. For a listing of replacement headers and interfaces, consult the file backward_warning.h. To disable this warning use -Wno-deprecated. [-Wcpp] 32 | #warning \ | ^~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanCDataSection.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanCDataSection.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanCharacterData.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanCharacterData.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanComment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanComment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanCompiledStylesheetDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanCompiledStylesheetDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMImplementation.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMImplementation.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMString.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMString.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMStringAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMStringAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMStringCache.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMStringCache.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMStringHashTable.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMStringHashTable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMStringPool.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMStringPool.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDOMStringReusableAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDOMStringReusableAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDecimalFormatSymbols.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDecimalFormatSymbols.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDefaultDocumentBuilder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDefaultDocumentBuilder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDefaultParsedSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDefaultParsedSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDiagnosticMemoryManager.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDiagnosticMemoryManager.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDocument.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDocument.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDocumentFragment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDocumentFragment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDocumentFragmentNodeRefListBaseProxy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDocumentFragmentNodeRefListBaseProxy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDocumentPrefixResolver.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDocumentPrefixResolver.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanDocumentType.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanDocumentType.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEXSLTCommon.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEXSLTCommon.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEXSLTDateTime.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEXSLTDateTime.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEXSLTDynamic.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEXSLTDynamic.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEXSLTMath.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEXSLTMath.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEXSLTSet.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEXSLTSet.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEXSLTString.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEXSLTString.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemApplyTemplatesAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemApplyTemplatesAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemAttributeAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemAttributeAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemAttributeSetAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemAttributeSetAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemCallTemplateAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemCallTemplateAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemElementAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemElementAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemEmptyAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemEmptyAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemLiteralResultAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemLiteralResultAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemTemplateAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemTemplateAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemTextAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemTextAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemTextLiteralAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemTextLiteralAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemValueOfAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemValueOfAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElemVariableAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElemVariableAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanElement.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanElement.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEmptyNamedNodeMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEmptyNamedNodeMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEncodingPropertyCache.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEncodingPropertyCache.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEntity.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEntity.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanEntityReference.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanEntityReference.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanExe.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanExe.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanExtensions.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanExtensions.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanFStreamOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanFStreamOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanFileOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanFileOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanFileUtility.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanFileUtility.cpp In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/backward/strstream:50, from xalanc/Harness/XalanFileUtility.hpp:30, from XalanFileUtility.cpp:17: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/backward/backward_warning.h:32:2: warning: #warning This file includes at least one deprecated or antiquated header which may be removed without further notice at a future date. Please use a non-deprecated interface with equivalent functionality instead. For a listing of replacement headers and interfaces, consult the file backward_warning.h. To disable this warning use -Wno-deprecated. [-Wcpp] 32 | #warning \ | ^~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanHTMLElementsProperties.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanHTMLElementsProperties.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanICUMessageLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanICUMessageLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanInMemoryMessageLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanInMemoryMessageLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanMatchPatternData.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanMatchPatternData.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanMatchPatternDataAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanMatchPatternDataAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanMemoryManagement.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanMemoryManagement.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanMemoryManagerDefault.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanMemoryManagerDefault.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanMessageLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanMessageLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanMsgLib.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanMsgLib.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNLSMessageLoader.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNLSMessageLoader.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNamedNodeMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNamedNodeMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNamespacesStack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNamespacesStack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNode.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNodeList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNodeList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNodeListDummy.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNodeListDummy.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNodeListSurrogate.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNodeListSurrogate.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNotation.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNotation.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNullOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNullOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNumberFormat.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNumberFormat.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanNumberingResourceBundle.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanNumberingResourceBundle.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanOutputStreamPrintWriter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanOutputStreamPrintWriter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanParsedSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanParsedSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanParsedURI.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanParsedURI.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanProcessingInstruction.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanProcessingInstruction.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanQName.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanQName.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanQNameByReference.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanQNameByReference.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanQNameByValue.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanQNameByValue.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanQNameByValueAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanQNameByValueAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanReferenceCountedObject.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanReferenceCountedObject.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSimplePrefixResolver.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSimplePrefixResolver.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeAttr.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeAttr.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeAttrNS.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeAttrNS.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeAttributeAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeAttributeAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeAttributeNSAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeAttributeNSAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeComment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeComment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeCommentAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeCommentAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeContentHandler.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeContentHandler.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeDOMSupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeDOMSupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeDocument.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeDocument.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeDocumentAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeDocumentAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeDocumentFragment.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeDocumentFragment.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeDocumentFragmentAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeDocumentFragmentAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElement.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElement.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementA.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementA.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementAAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementAAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementANS.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementANS.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementANSAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementANSAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementNA.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementNA.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementNAAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementNAAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementNANS.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementNANS.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeElementNANSAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeElementNANSAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeHelper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeHelper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeInit.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeInit.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeParserLiaison.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeParserLiaison.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeProcessingInstruction.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeProcessingInstruction.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeProcessingInstructionAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeProcessingInstructionAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeText.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeText.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeTextAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeTextAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeTextIWS.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeTextIWS.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeTextIWSAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeTextIWSAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSourceTreeWrapperParsedSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSourceTreeWrapperParsedSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanSpaceNodeTester.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanSpaceNodeTester.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanStdOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanStdOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanText.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanText.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanToXercesTranscoderWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanToXercesTranscoderWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanTranscodingServices.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanTranscodingServices.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanTransformer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanTransformer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanTransformerOutputStream.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanTransformerOutputStream.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanTransformerProblemListener.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanTransformerProblemListener.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanUTF16Transcoder.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanUTF16Transcoder.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanUTF16Writer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanUTF16Writer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanUTF8Writer.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanUTF8Writer.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanXMLChar.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanXMLChar.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanXMLFileReporter.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanXMLFileReporter.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanXMLSerializerBase.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanXMLSerializerBase.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanXMLSerializerFactory.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanXMLSerializerFactory.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XalanXPathException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XalanXPathException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesAttGroupInfo.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesAttGroupInfo.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesAttrBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesAttrBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesAttrWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesAttrWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesAttrWrapperAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesAttrWrapperAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesAttributeBridgeAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesAttributeBridgeAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesBridgeHelper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesBridgeHelper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesBridgeNavigator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesBridgeNavigator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesCDATASectionBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesCDATASectionBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesCDATASectionWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesCDATASectionWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesCommentBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesCommentBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesCommentWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesCommentWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMFormatterWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMFormatterWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMImplementationBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMImplementationBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMImplementationWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMImplementationWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMParsedSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMParsedSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMParser.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMParser.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMSupport.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMSupport.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMWrapperException.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMWrapperException.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOMWrapperParsedSource.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOMWrapperParsedSource.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDOM_NodeHack.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDOM_NodeHack.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDocumentBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDocumentBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDocumentFragmentBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDocumentFragmentBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDocumentTypeBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDocumentTypeBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDocumentTypeWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDocumentTypeWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesDocumentWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesDocumentWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesElementBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesElementBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesElementBridgeAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesElementBridgeAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesElementWildcard.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesElementWildcard.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesElementWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesElementWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesElementWrapperAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesElementWrapperAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesEntityBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesEntityBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesEntityReferenceBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesEntityReferenceBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesEntityReferenceWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesEntityReferenceWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesEntityWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesEntityWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesGroupInfo.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesGroupInfo.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesLiaisonXalanDOMStringPool.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesLiaisonXalanDOMStringPool.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNamedNodeMapAttributeList.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNamedNodeMapAttributeList.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNamedNodeMapBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNamedNodeMapBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNamedNodeMapWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNamedNodeMapWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNodeListBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNodeListBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNodeListWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNodeListWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNotationBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNotationBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesNotationWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesNotationWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesParserLiaison.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesParserLiaison.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesProcessingInstructionBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesProcessingInstructionBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesProcessingInstructionWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesProcessingInstructionWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesTextBridge.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesTextBridge.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesTextBridgeAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesTextBridgeAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesTextWrapper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesTextWrapper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesTextWrapperAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesTextWrapperAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesToXalanNodeMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesToXalanNodeMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesTreeWalker.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesTreeWalker.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesWrapperHelper.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesWrapperHelper.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesWrapperNavigator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesWrapperNavigator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesWrapperNavigatorAllocator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesWrapperNavigatorAllocator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesWrapperToXalanNodeMap.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesWrapperToXalanNodeMap.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o XercesXPath.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 XercesXPath.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o YearDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 YearDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o YearMonthDatatypeValidator.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 YearMonthDatatypeValidator.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP AIXPlatformUtils.o CSetDefs.o Win32PlatformUtils.o Win32MsgLoader.o Win32TransService.o Win32TransService2.o SunCCDefs.o SolarisPlatformUtils.o GCCDefs.o LinuxPlatformUtils.o MIPSproDefs.o IRIXPlatformUtils.o HPCCDefs.o HPPlatformUtils.o ASCIIRangeFactory.o AVT.o AVTPart.o AVTPartSimple.o AVTPartXPath.o AbstractDOMParser.o AbstractNumericFacetValidator.o AbstractNumericValidator.o AbstractStringValidator.o AllContentModel.o AnySimpleTypeDatatypeValidator.o AnyURIDatatypeValidator.o AttrImpl.o AttrMapImpl.o AttrNSImpl.o AttributeListImpl.o AttributesImpl.o BMPattern.o Base64.o Base64BinaryDatatypeValidator.o BinFileInputStream.o BinFileOutputStream.o BinInputStream.o BinMemInputStream.o BinMemOutputStream.o BinOutputStream.o BitSet.o BlockRangeFactory.o BooleanDatatypeValidator.o CDATASectionImpl.o CMAny.o CMBinaryOp.o CMUnaryOp.o CharTo ken.o CharacterDataImpl.o ChildNode.o ClosureToken.o CommentImpl.o ComplexTypeInfo.o ConcatToken.o ConditionToken.o Constants.o ContentLeafNameTypeVector.o ContentSpecNode.o CountersTable.o DFAContentModel.o DGXMLScanner.o DOMAttrImpl.o DOMAttrMapImpl.o DOMAttrNSImpl.o DOMBuilderImpl.o DOMCDATASectionImpl.o DOMCharacterDataImpl.o DOMChildNode.o DOMCommentImpl.o DOMConfigurationImpl.o DOMDeepNodeListImpl.o DOMDocumentFragmentImpl.o DOMDocumentImpl.o DOMDocumentTypeImpl.o DOMElementImpl.o DOMElementNSImpl.o DOMEntityImpl.o DOMEntityReferenceImpl.o DOMErrorImpl.o DOMException.o DOMImplementationImpl.o DOMImplementationRegistry.o DOMLocatorImpl.o DOMNamedNodeMapImpl.o DOMNodeIDMap.o DOMNodeImpl.o DOMNodeIteratorImpl.o DOMNodeListImpl.o DOMNodeVector.o DOMNormalizer.o DOMNotationImpl.o DOMParentNode.o DOMParser.o DOMProcessingInstructionImpl.o DOMRangeException.o DOMRangeImpl.o DOMServices.o DOMString.o DOMStringHelper.o DOMStringPool.o DOMStringPrintWriter.o DOMSupport.o DOMSupportDefault.o DOMSupportExceptio n.o DOMSupportInit.o DOMTextImpl.o DOMTreeWalkerImpl.o DOMTypeInfoImpl.o DOMWriterImpl.o DOMXPathException.o DOM_Attr.o DOM_CDATASection.o DOM_CharacterData.o DOM_Comment.o DOM_DOMException.o DOM_DOMImplementation.o DOM_Document.o DOM_DocumentFragment.o DOM_DocumentType.o DOM_Element.o DOM_Entity.o DOM_EntityReference.o DOM_NamedNodeMap.o DOM_Node.o DOM_NodeFilter.o DOM_NodeIterator.o DOM_NodeList.o DOM_Notation.o DOM_ProcessingInstruction.o DOM_Range.o DOM_RangeException.o DOM_Text.o DOM_TreeWalker.o DOM_XMLDecl.o DStringPool.o DTDAttDef.o DTDAttDefList.o DTDElementDecl.o DTDEntityDecl.o DTDGrammar.o DTDScanner.o DTDValidator.o DatatypeValidator.o DatatypeValidatorFactory.o DateDatatypeValidator.o DateTimeDatatypeValidator.o DateTimeValidator.o DayDatatypeValidator.o DecimalDatatypeValidator.o DeepNodeListImpl.o DefaultPanicHandler.o DocumentFragmentImpl.o DocumentImpl.o DocumentTypeImpl.o DomMemDebug.o DoubleDatatypeValidator.o DoubleSupport.o Dummy.o DurationDatatypeValidator.o ENTITYDatatypeValidator. o ElemApplyImport.o ElemApplyTemplates.o ElemAttribute.o ElemAttributeSet.o ElemCallTemplate.o ElemChoose.o ElemComment.o ElemCopy.o ElemCopyOf.o ElemDecimalFormat.o ElemElement.o ElemEmpty.o ElemExtensionCall.o ElemFallback.o ElemForEach.o ElemForwardCompatible.o ElemIf.o ElemLiteralResult.o ElemMessage.o ElemNumber.o ElemOtherwise.o ElemPI.o ElemParam.o ElemSort.o ElemStack.o ElemTemplate.o ElemTemplateElement.o ElemText.o ElemTextLiteral.o ElemUse.o ElemValueOf.o ElemVariable.o ElemWhen.o ElemWithParam.o ElementDefinitionImpl.o ElementImpl.o ElementNSImpl.o ElementPrefixResolverProxy.o EncodingValidator.o EntityImpl.o EntityReferenceImpl.o ExecutionContext.o ExtensionFunctionHandler.o ExtensionNSHandler.o FieldActivator.o FieldValueMap.o FileHandleImpl.o FloatDatatypeValidator.o FormatterListener.o FormatterStringLengthCounter.o FormatterToDOM.o FormatterToDeprecatedXercesDOM.o FormatterToHTML.o FormatterToNull.o FormatterToSourceTree.o FormatterToText.o FormatterToXML.o FormatterToXercesDOM.o Formatte rTreeWalker.o Function.o FunctionConcat.o FunctionContains.o FunctionCurrent.o FunctionDifference.o FunctionDistinct.o FunctionDocument.o FunctionElementAvailable.o FunctionEvaluate.o FunctionFormatNumber.o FunctionFunctionAvailable.o FunctionGenerateID.o FunctionHasSameNodes.o FunctionID.o FunctionIntersection.o FunctionKey.o FunctionLang.o FunctionNamespaceURI.o FunctionNodeSet.o FunctionNormalizeSpace.o FunctionStartsWith.o FunctionString.o FunctionSubstring.o FunctionSubstringAfter.o FunctionSubstringBefore.o FunctionSystemProperty.o FunctionTranslate.o FunctionUnparsedEntityURI.o GeneralAttributeCheck.o GenerateEvent.o Grammar.o GrammarResolver.o HashPtr.o HashXMLCh.o HeaderDummy.o HexBin.o HexBinaryDatatypeValidator.o ICUResHandler.o IC_Field.o IC_Key.o IC_KeyRef.o IC_Selector.o IC_Unique.o IDDatatypeValidator.o IDREFDatatypeValidator.o IGXMLScanner.o IGXMLScanner2.o IconvTransService.o IdentityConstraint.o IdentityConstraintHandler.o InMemHandler.o InMemMsgLoader.o InputSource.o KVStringPair.o KeyT able.o ListDatatypeValidator.o LocalFileFormatTarget.o LocalFileInputSource.o Match.o MemBufFormatTarget.o MemBufInputSource.o MemoryManagerArrayImpl.o MemoryManagerImpl.o MixedContentModel.o ModifierToken.o MonthDatatypeValidator.o MonthDayDatatypeValidator.o MsgFileOutputStream.o MutableNodeRefList.o Mutexes.o NCNameDatatypeValidator.o NLSHandler.o NOTATIONDatatypeValidator.o NameDatatypeValidator.o NamedNodeMapAttributeList.o NamedNodeMapImpl.o NamespaceScope.o NamespacesHandler.o NodeIDMap.o NodeImpl.o NodeIteratorImpl.o NodeListImpl.o NodeNameTreeWalker.o NodeRefList.o NodeRefListBase.o NodeSortKey.o NodeSorter.o NodeVector.o NotationImpl.o NullPrintWriter.o Op.o OpFactory.o OutputContextStack.o PSVIAttribute.o PSVIAttributeList.o PSVIElement.o PSVIItem.o PanicHandler.o ParenToken.o ParentNode.o ParserForXMLSchema.o PlatformSupportInit.o PlatformUtils.o PrefixResolver.o PrintWriter.o ProblemListener.o ProblemListenerDefault.o ProcessingInstructionImpl.o QName.o QNameDatatypeValidator.o RangeFactory.o RangeImpl.o RangeToken.o RangeTokenMap.o ReaderMgr.o RefCountedImpl.o RegularExpression.o RegxParser.o RegxUtil.o Resettable.o ResultNamespacesStack.o SAX2Handler.o SAX2XMLFilterImpl.o SAX2XMLReaderImpl.o SAXException.o SAXParseException.o SAXParser.o SGXMLScanner.o SchemaAttDef.o SchemaAttDefList.o SchemaElementDecl.o SchemaGrammar.o SchemaInfo.o SchemaSymbols.o SchemaValidator.o SelectionEvent.o SimpleContentModel.o StdBinInputStream.o StdInInputSource.o StdOutFormatTarget.o StringDatatypeValidator.o StringPool.o StringToken.o StringTokenizer.o Stylesheet.o StylesheetConstructionContext.o StylesheetConstructionContextDefault.o StylesheetExecutionContext.o StylesheetExecutionContextDefault.o StylesheetHandler.o StylesheetRoot.o SubstitutionGroupComparator.o SynchronizedStringPool.o TextImpl.o TimeDatatypeValidator.o Token.o TokenFactory.o TopLevelArg.o TraceListener.o TraceListenerDefault.o TracerEvent.o TransService.o TraverseSchema.o TreeWalker.o TreeWalkerImpl.o URISupport.o URLInputSource.o UnicodeR angeFactory.o UnionDatatypeValidator.o UnionToken.o ValidationContextImpl.o ValueStore.o ValueStoreCache.o VariablesStack.o VecAttrListImpl.o VecAttributesImpl.o WFXMLScanner.o Wrapper4DOMInputSource.o Wrapper4InputSource.o Writer.o XBoolean.o XML256TableTranscoder.o XML256TableTranscoder390.o XML88591Transcoder.o XML88591Transcoder390.o XMLASCIITranscoder.o XMLASCIITranscoder390.o XMLAbstractDoubleFloat.o XMLAttDef.o XMLAttDefList.o XMLAttr.o XMLBigDecimal.o XMLBigInteger.o XMLBuffer.o XMLBufferMgr.o XMLCanRepGroup.o XMLChTranscoder.o XMLChar.o XMLContentModel.o XMLDTDDescription.o XMLDTDDescriptionImpl.o XMLDateTime.o XMLDeclImpl.o XMLDouble.o XMLEBCDICTranscoder.o XMLEBCDICTranscoder390.o XMLElementDecl.o XMLEntityDecl.o XMLException.o XMLFloat.o XMLFormatter.o XMLGrammarDescription.o XMLGrammarPoolImpl.o XMLIBM1047Transcoder.o XMLIBM1047Transcoder390.o XMLIBM1140Transcoder.o XMLIBM1140Transcoder390.o XMLInitializer.o XMLMsgLoader.o XMLNotationDecl.o XMLNumber.o XMLParserLiaison.o XMLRangeFactory.o XML Reader.o XMLRecognizer.o XMLRefInfo.o XMLRegisterCleanup.o XMLScanner.o XMLScannerResolver.o XMLSchemaDescription.o XMLSchemaDescriptionImpl.o XMLString.o XMLStringTokenizer.o XMLSupportException.o XMLSupportInit.o XMLUCSTranscoder.o XMLURL.o XMLUTF16Transcoder.o XMLUTF8Transcoder.o XMLUTF8Transcoder390.o XMLUni.o XMLUniCharacter.o XMLUri.o XMLValidator.o XMLWin1252Transcoder.o XMLWin1252Transcoder390.o XMemory.o XNodeSet.o XNodeSetAllocator.o XNodeSetBase.o XNodeSetNodeProxy.o XNodeSetNodeProxyAllocator.o XNodeSetResultTreeFragProxy.o XNull.o XNumber.o XNumberAllocator.o XNumberBase.o XObject.o XObjectFactory.o XObjectFactoryDefault.o XObjectResultTreeFragProxy.o XObjectResultTreeFragProxyBase.o XObjectResultTreeFragProxyText.o XObjectTypeCallback.o XPath.o XPathAllocator.o XPathCAPI.o XPathConstructionContext.o XPathConstructionContextDefault.o XPathEnvSupport.o XPathEnvSupportDefault.o XPathEvaluator.o XPathExecutionContext.o XPathExecutionContextDefault.o XPathExpression.o XPathFactory.o XPathFactoryB lock.o XPathFactoryDefault.o XPathFunctionTable.o XPathInit.o XPathMatcher.o XPathMatcherStack.o XPathParserException.o XPathProcessor.o XPathProcessorImpl.o XPathSymbols.o XProtoType.o XResultTreeFrag.o XResultTreeFragAllocator.o XSAXMLScanner.o XSAnnotation.o XSAttributeDeclaration.o XSAttributeGroupDefinition.o XSAttributeUse.o XSComplexTypeDefinition.o XSDDOMParser.o XSDElementNSImpl.o XSDErrorReporter.o XSDLocator.o XSElementDeclaration.o XSFacet.o XSIDCDefinition.o XSLException.o XSLTEngineImpl.o XSLTInit.o XSLTInputSource.o XSLTProcessor.o XSLTProcessorEnvSupport.o XSLTProcessorEnvSupportDefault.o XSLTProcessorException.o XSLTResultTarget.o XSModel.o XSModelGroup.o XSModelGroupDefinition.o XSMultiValueFacet.o XSNamespaceItem.o XSNotationDeclaration.o XSObject.o XSObjectFactory.o XSParticle.o XSSimpleTypeDefinition.o XSTypeDefinition.o XSValue.o XSWildcard.o XSerializeEngine.o XSpan.o XString.o XStringAdapter.o XStringAdapterAllocator.o XStringAllocator.o XStringBase.o XStringCached.o XStringCachedA llocator.o XStringReference.o XStringReferenceAllocator.o XTemplateSerializer.o XToken.o XTokenNumberAdapter.o XTokenNumberAdapterAllocator.o XTokenStringAdapter.o XTokenStringAdapterAllocator.o XUnknown.o XUtil.o XalanAVTAllocator.o XalanAVTPartSimpleAllocator.o XalanAVTPartXPathAllocator.o XalanAttr.o XalanBitmap.o XalanCAPI.o XalanCDataSection.o XalanCharacterData.o XalanComment.o XalanCompiledStylesheetDefault.o XalanDOMException.o XalanDOMImplementation.o XalanDOMInit.o XalanDOMString.o XalanDOMStringAllocator.o XalanDOMStringCache.o XalanDOMStringHashTable.o XalanDOMStringPool.o XalanDOMStringReusableAllocator.o XalanDecimalFormatSymbols.o XalanDefaultDocumentBuilder.o XalanDefaultParsedSource.o XalanDiagnosticMemoryManager.o XalanDocument.o XalanDocumentFragment.o XalanDocumentFragmentNodeRefListBaseProxy.o XalanDocumentPrefixResolver.o XalanDocumentType.o XalanEXSLTCommon.o XalanEXSLTDateTime.o XalanEXSLTDynamic.o XalanEXSLTMath.o XalanEXSLTSet.o XalanEXSLTString.o XalanElemApplyTemplatesAllocator .o XalanElemAttributeAllocator.o XalanElemAttributeSetAllocator.o XalanElemCallTemplateAllocator.o XalanElemElementAllocator.o XalanElemEmptyAllocator.o XalanElemLiteralResultAllocator.o XalanElemTemplateAllocator.o XalanElemTextAllocator.o XalanElemTextLiteralAllocator.o XalanElemValueOfAllocator.o XalanElemVariableAllocator.o XalanElement.o XalanEmptyNamedNodeMap.o XalanEncodingPropertyCache.o XalanEntity.o XalanEntityReference.o XalanExe.o XalanExtensions.o XalanFStreamOutputStream.o XalanFileOutputStream.o XalanFileUtility.o XalanHTMLElementsProperties.o XalanICUMessageLoader.o XalanInMemoryMessageLoader.o XalanMatchPatternData.o XalanMatchPatternDataAllocator.o XalanMemoryManagement.o XalanMemoryManagerDefault.o XalanMessageLoader.o XalanMsgLib.o XalanNLSMessageLoader.o XalanNamedNodeMap.o XalanNamespacesStack.o XalanNode.o XalanNodeList.o XalanNodeListDummy.o XalanNodeListSurrogate.o XalanNotation.o XalanNullOutputStream.o XalanNumberFormat.o XalanNumberingResourceBundle.o XalanOutputStream.o XalanO utputStreamPrintWriter.o XalanParsedSource.o XalanParsedURI.o XalanProcessingInstruction.o XalanQName.o XalanQNameByReference.o XalanQNameByValue.o XalanQNameByValueAllocator.o XalanReferenceCountedObject.o XalanSimplePrefixResolver.o XalanSourceTreeAttr.o XalanSourceTreeAttrNS.o XalanSourceTreeAttributeAllocator.o XalanSourceTreeAttributeNSAllocator.o XalanSourceTreeComment.o XalanSourceTreeCommentAllocator.o XalanSourceTreeContentHandler.o XalanSourceTreeDOMSupport.o XalanSourceTreeDocument.o XalanSourceTreeDocumentAllocator.o XalanSourceTreeDocumentFragment.o XalanSourceTreeDocumentFragmentAllocator.o XalanSourceTreeElement.o XalanSourceTreeElementA.o XalanSourceTreeElementAAllocator.o XalanSourceTreeElementANS.o XalanSourceTreeElementANSAllocator.o XalanSourceTreeElementNA.o XalanSourceTreeElementNAAllocator.o XalanSourceTreeElementNANS.o XalanSourceTreeElementNANSAllocator.o XalanSourceTreeHelper.o XalanSourceTreeInit.o XalanSourceTreeParserLiaison.o XalanSourceTreeProcessingInstruction.o XalanSource TreeProcessingInstructionAllocator.o XalanSourceTreeText.o XalanSourceTreeTextAllocator.o XalanSourceTreeTextIWS.o XalanSourceTreeTextIWSAllocator.o XalanSourceTreeWrapperParsedSource.o XalanSpaceNodeTester.o XalanStdOutputStream.o XalanText.o XalanToXercesTranscoderWrapper.o XalanTranscodingServices.o XalanTransformer.o XalanTransformerOutputStream.o XalanTransformerProblemListener.o XalanUTF16Transcoder.o XalanUTF16Writer.o XalanUTF8Writer.o XalanXMLChar.o XalanXMLFileReporter.o XalanXMLSerializerBase.o XalanXMLSerializerFactory.o XalanXPathException.o XercesAttGroupInfo.o XercesAttrBridge.o XercesAttrWrapper.o XercesAttrWrapperAllocator.o XercesAttributeBridgeAllocator.o XercesBridgeHelper.o XercesBridgeNavigator.o XercesCDATASectionBridge.o XercesCDATASectionWrapper.o XercesCommentBridge.o XercesCommentWrapper.o XercesDOMException.o XercesDOMFormatterWalker.o XercesDOMImplementationBridge.o XercesDOMImplementationWrapper.o XercesDOMParsedSource.o XercesDOMParser.o XercesDOMSupport.o XercesDOMWalker.o XercesDOMWrapperException.o XercesDOMWrapperParsedSource.o XercesDOM_NodeHack.o XercesDocumentBridge.o XercesDocumentFragmentBridge.o XercesDocumentTypeBridge.o XercesDocumentTypeWrapper.o XercesDocumentWrapper.o XercesElementBridge.o XercesElementBridgeAllocator.o XercesElementWildcard.o XercesElementWrapper.o XercesElementWrapperAllocator.o XercesEntityBridge.o XercesEntityReferenceBridge.o XercesEntityReferenceWrapper.o XercesEntityWrapper.o XercesGroupInfo.o XercesLiaisonXalanDOMStringPool.o XercesNamedNodeMapAttributeList.o XercesNamedNodeMapBridge.o XercesNamedNodeMapWrapper.o XercesNodeListBridge.o XercesNodeListWrapper.o XercesNotationBridge.o XercesNotationWrapper.o XercesParserLiaison.o XercesProcessingInstructionBridge.o XercesProcessingInstructionWrapper.o XercesTextBridge.o XercesTextBridgeAllocator.o XercesTextWrapper.o XercesTextWrapperAllocator.o XercesToXalanNodeMap.o XercesTreeWalker.o XercesWrapperHelper.o XercesWrapperNavigator.o XercesWrapperNavigatorAllocator.o XercesWrapperToXalanNo deMap.o XercesXPath.o YearDatatypeValidator.o YearMonthDatatypeValidator.o -o xalancbmk_s AttributeListImpl.cpp:246:8: warning: type ‘struct NameCompareFunctor’ violates the C++ One Definition Rule [-Wodr] 246 | struct NameCompareFunctor | ^ AttributesImpl.cpp:266:8: note: a different type is defined in another translation unit 266 | struct NameCompareFunctor | ^ AttributeListImpl.cpp:261:33: note: the first difference of corresponding definitions is field ‘m_name’ 261 | const XMLCh* const m_name; | ^ AttributesImpl.cpp:281:33: note: a field with different name is defined in another translation unit 281 | const XMLCh* const m_qname; | ^ AttributeListImpl.cpp:246:8: note: type ‘struct NameCompareFunctor’ itself violates the C++ One Definition Rule 246 | struct NameCompareFunctor | ^ AttributesImpl.cpp:266:8: note: the incompatible type is defined here 266 | struct NameCompareFunctor | ^ lto-wrapper: warning: using serial compilation of 73 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make command: 2021-07-08 15:15:35 (1625771735.46594) Elapsed time for make command: 00:03:35 (215.647863864899) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:15:35 (1625771735.46893) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: PORTABILITY="-DSPEC_LINUX" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:15:35 (1625771735.63757) Elapsed time for options command: 00:00:00 (0.168640851974487) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:15:35 (1625771735.64101) CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:15:35 (1625771735.83647) Elapsed time for compiler-version command: 00:00:00 (0.195464849472046) Compile for '623.xalancbmk_s' ended at: 2021-07-08 15:15:35 (1625771735) Elapsed compile for '623.xalancbmk_s': 00:03:36 (216) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: PORTABILITY="-DSPEC_LINUX" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/exe/imagevalidate_625_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/exe/ldecod_s_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/exe/x264_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/exe/imagevalidate_625_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/exe/ldecod_s_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/exe/x264_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 625.x264_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:15:36] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/build/build_peak_x86_64-m64.0000/Makefile.imagevalidate_625.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/build/build_peak_x86_64-m64.0000/Makefile.ldecod_s.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/build/build_peak_x86_64-m64.0000/Makefile.x264_s.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/build/build_peak_x86_64-m64.0000/Makefile.imagevalidate_625.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=625 NAME=x264_s SOURCES= image_validator/ImageValidator.c EXEBASE=imagevalidate_625 NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = x264_s basepeak = 0 benchdir = benchspec benchmark = 625.x264_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = x264_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 1 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 lognum = 043.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = x264_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:05:45 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.663 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 625 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 --lognum 043.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/525.x264_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = imagevalidate_frame_100.out imagevalidate_frame_141.out imagevalidate_frame_50.out Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/build/build_peak_x86_64-m64.0000/Makefile.ldecod_s.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=625 NAME=x264_s SOURCES= ldecod_src/nal.c ldecod_src/mbuffer_mvc.c ldecod_src/image.c \ ldecod_src/mb_access.c ldecod_src/memalloc.c ldecod_src/mc_prediction.c \ ldecod_src/mb_prediction.c ldecod_src/intra4x4_pred_mbaff.c \ ldecod_src/loop_filter_mbaff.c ldecod_src/context_ini.c \ ldecod_src/configfile.c ldecod_src/cabac.c ldecod_src/sei.c \ ldecod_src/leaky_bucket.c ldecod_src/filehandle.c \ ldecod_src/errorconcealment.c ldecod_src/decoder_test.c \ ldecod_src/img_process.c ldecod_src/mv_prediction.c ldecod_src/fmo.c \ ldecod_src/output.c ldecod_src/mc_direct.c ldecod_src/rtp.c \ ldecod_src/nalucommon.c ldecod_src/config_common.c \ ldecod_src/intra_chroma_pred.c ldecod_src/transform8x8.c \ ldecod_src/blk_prediction.c ldecod_src/intra8x8_pred_mbaff.c \ ldecod_src/erc_do_i.c ldecod_src/io_tiff.c ldecod_src/mbuffer.c \ ldecod_src/block.c ldecod_src/intra4x4_pred.c ldecod_src/transform.c \ ldecod_src/annexb.c ldecod_src/ldecod.c ldecod_src/macroblock.c \ ldecod_src/vlc.c ldecod_src/parset.c ldecod_src/loop_filter_normal.c \ ldecod_src/parsetcommon.c ldecod_src/erc_do_p.c ldecod_src/loopFilter.c \ ldecod_src/intra16x16_pred_mbaff.c ldecod_src/intra4x4_pred_normal.c \ ldecod_src/intra16x16_pred_normal.c ldecod_src/win32.c \ ldecod_src/intra16x16_pred.c ldecod_src/intra8x8_pred_normal.c \ ldecod_src/io_raw.c ldecod_src/img_io.c ldecod_src/nalu.c \ ldecod_src/quant.c ldecod_src/intra8x8_pred.c ldecod_src/erc_api.c \ ldecod_src/header.c ldecod_src/biaridecod.c ldecod_src/input.c EXEBASE=ldecod_s NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = x264_s basepeak = 0 benchdir = benchspec benchmark = 625.x264_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = x264_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 1 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 lognum = 043.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = x264_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:05:45 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.663 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 625 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 --lognum 043.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/525.x264_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = imagevalidate_frame_100.out imagevalidate_frame_141.out imagevalidate_frame_50.out Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/build/build_peak_x86_64-m64.0000/Makefile.x264_s.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=625 NAME=x264_s SOURCES= x264_src/common/mc.c x264_src/common/predict.c \ x264_src/common/pixel.c x264_src/common/macroblock.c \ x264_src/common/frame.c x264_src/common/dct.c x264_src/common/cpu.c \ x264_src/common/cabac.c x264_src/common/common.c x264_src/common/mdate.c \ x264_src/common/rectangle.c x264_src/common/set.c \ x264_src/common/quant.c x264_src/common/deblock.c x264_src/common/vlc.c \ x264_src/common/mvpred.c x264_src/encoder/analyse.c \ x264_src/encoder/me.c x264_src/encoder/ratecontrol.c \ x264_src/encoder/set.c x264_src/encoder/macroblock.c \ x264_src/encoder/cabac.c x264_src/encoder/cavlc.c \ x264_src/encoder/encoder.c x264_src/encoder/lookahead.c \ x264_src/input/timecode.c x264_src/input/yuv.c x264_src/input/y4m.c \ x264_src/output/raw.c x264_src/output/matroska.c \ x264_src/output/matroska_ebml.c x264_src/output/flv.c \ x264_src/output/flv_bytestream.c x264_src/input/thread.c x264_src/x264.c \ x264_src/extras/getopt.c EXEBASE=x264_s NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = x264_s basepeak = 0 benchdir = benchspec benchmark = 625.x264_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = x264_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 1 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 lognum = 043.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = x264_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:05:45 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.663 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 625 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 --lognum 043.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/525.x264_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = imagevalidate_frame_100.out imagevalidate_frame_141.out imagevalidate_frame_50.out Compile for '625.x264_s' started at: 2021-07-08 15:15:36 (1625771736) Issuing make.clean.imagevalidate_625 command 'specmake --output-sync --jobs=1 clean TARGET=imagevalidate_625' Start make.clean.imagevalidate_625 command: 2021-07-08 15:15:36 (1625771736.35414) Stop make.clean.imagevalidate_625 command: 2021-07-08 15:15:36 (1625771736.51579) Elapsed time for make.clean.imagevalidate_625 command: 00:00:00 (0.161647796630859) Issuing make.clean.ldecod_s command 'specmake --output-sync --jobs=1 clean TARGET=ldecod_s' Start make.clean.ldecod_s command: 2021-07-08 15:15:36 (1625771736.5187) Stop make.clean.ldecod_s command: 2021-07-08 15:15:36 (1625771736.68805) Elapsed time for make.clean.ldecod_s command: 00:00:00 (0.169344902038574) Issuing make.clean.x264_s command 'specmake --output-sync --jobs=1 clean TARGET=x264_s' Start make.clean.x264_s command: 2021-07-08 15:15:36 (1625771736.69096) Stop make.clean.x264_s command: 2021-07-08 15:15:36 (1625771736.87693) Elapsed time for make.clean.x264_s command: 00:00:00 (0.185961961746216) Issuing make.imagevalidate_625 command 'specmake --output-sync --jobs=1 build TARGET=imagevalidate_625' Start make.imagevalidate_625 command: 2021-07-08 15:15:36 (1625771736.88035) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o image_validator/ImageValidator.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 image_validator/ImageValidator.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing image_validator/ImageValidator.o -lm -o imagevalidate_625 Stop make.imagevalidate_625 command: 2021-07-08 15:15:37 (1625771737.40082) Elapsed time for make.imagevalidate_625 command: 00:00:00 (0.520477056503296) Issuing options.imagevalidate_625 command 'specmake --output-sync --jobs=1 options TARGET=imagevalidate_625' Start options.imagevalidate_625 command: 2021-07-08 15:15:37 (1625771737.40383) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options.imagevalidate_625 command: 2021-07-08 15:15:37 (1625771737.56472) Elapsed time for options.imagevalidate_625 command: 00:00:00 (0.160888910293579) Issuing compiler-version.imagevalidate_625 command 'specmake --output-sync --jobs=1 compiler-version TARGET=imagevalidate_625' Start compiler-version.imagevalidate_625 command: 2021-07-08 15:15:37 (1625771737.56812) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.imagevalidate_625 command: 2021-07-08 15:15:37 (1625771737.73396) Elapsed time for compiler-version.imagevalidate_625 command: 00:00:00 (0.165839195251465) Issuing make.ldecod_s command 'specmake --output-sync --jobs=1 build TARGET=ldecod_s' Start make.ldecod_s command: 2021-07-08 15:15:37 (1625771737.73484) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/nal.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/nal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mbuffer_mvc.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mbuffer_mvc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/image.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/image.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mb_access.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mb_access.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/memalloc.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/memalloc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mc_prediction.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mc_prediction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mb_prediction.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mb_prediction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra4x4_pred_mbaff.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra4x4_pred_mbaff.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/loop_filter_mbaff.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/loop_filter_mbaff.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/context_ini.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/context_ini.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/configfile.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/configfile.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/cabac.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/cabac.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/sei.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/sei.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/leaky_bucket.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/leaky_bucket.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/filehandle.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/filehandle.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/errorconcealment.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/errorconcealment.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/decoder_test.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/decoder_test.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/img_process.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/img_process.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mv_prediction.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mv_prediction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/fmo.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/fmo.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/output.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/output.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mc_direct.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mc_direct.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/rtp.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/rtp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/nalucommon.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/nalucommon.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/config_common.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/config_common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra_chroma_pred.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra_chroma_pred.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/transform8x8.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/transform8x8.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/blk_prediction.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/blk_prediction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra8x8_pred_mbaff.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra8x8_pred_mbaff.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/erc_do_i.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/erc_do_i.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/io_tiff.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/io_tiff.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/mbuffer.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/mbuffer.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/block.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/block.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra4x4_pred.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra4x4_pred.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/transform.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/transform.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/annexb.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/annexb.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/ldecod.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/ldecod.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/macroblock.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/macroblock.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/vlc.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/vlc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/parset.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/parset.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/loop_filter_normal.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/loop_filter_normal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/parsetcommon.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/parsetcommon.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/erc_do_p.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/erc_do_p.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/loopFilter.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/loopFilter.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra16x16_pred_mbaff.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra16x16_pred_mbaff.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra4x4_pred_normal.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra4x4_pred_normal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra16x16_pred_normal.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra16x16_pred_normal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/win32.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/win32.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra16x16_pred.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra16x16_pred.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra8x8_pred_normal.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra8x8_pred_normal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/io_raw.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/io_raw.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/img_io.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/img_io.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/nalu.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/nalu.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/quant.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/quant.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/intra8x8_pred.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/intra8x8_pred.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/erc_api.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/erc_api.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/header.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/header.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/biaridecod.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/biaridecod.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ldecod_src/input.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 ldecod_src/input.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing ldecod_src/nal.o ldecod_src/mbuffer_mvc.o ldecod_src/image.o ldecod_src/mb_access.o ldecod_src/memalloc.o ldecod_src/mc_prediction.o ldecod_src/mb_prediction.o ldecod_src/intra4x4_pred_mbaff.o ldecod_src/loop_filter_mbaff.o ldecod_src/context_ini.o ldecod_src/configfile.o ldecod_src/cabac.o ldecod_src/sei.o ldecod_src/leaky_bucket.o ldecod_src/filehandle.o ldecod_src/errorconcealment.o ldecod_src/decoder_test.o ldecod_src/img_process.o ldecod_src/mv_prediction.o ldecod_src/fmo.o ldecod_src/output.o ldecod_src/mc_direct.o ldecod_src/rtp.o ldecod_src/nalucommon.o ldecod_src/config_common.o ldecod_src/intra_chroma_pred.o ldecod_src/transform8x8.o ldecod_src/blk_prediction.o ldecod_src/intra8x8_pred_mbaff.o ldecod_src/erc_do_i.o ldecod_src/io_tiff.o ldecod_src/mbuffer.o ldecod_src/block.o ldecod_src/intra4x4 _pred.o ldecod_src/transform.o ldecod_src/annexb.o ldecod_src/ldecod.o ldecod_src/macroblock.o ldecod_src/vlc.o ldecod_src/parset.o ldecod_src/loop_filter_normal.o ldecod_src/parsetcommon.o ldecod_src/erc_do_p.o ldecod_src/loopFilter.o ldecod_src/intra16x16_pred_mbaff.o ldecod_src/intra4x4_pred_normal.o ldecod_src/intra16x16_pred_normal.o ldecod_src/win32.o ldecod_src/intra16x16_pred.o ldecod_src/intra8x8_pred_normal.o ldecod_src/io_raw.o ldecod_src/img_io.o ldecod_src/nalu.o ldecod_src/quant.o ldecod_src/intra8x8_pred.o ldecod_src/erc_api.o ldecod_src/header.o ldecod_src/biaridecod.o ldecod_src/input.o -lm -o ldecod_s lto-wrapper: warning: using serial compilation of 8 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make.ldecod_s command: 2021-07-08 15:15:51 (1625771751.63225) Elapsed time for make.ldecod_s command: 00:00:13 (13.8974130153656) Issuing options.ldecod_s command 'specmake --output-sync --jobs=1 options TARGET=ldecod_s' Start options.ldecod_s command: 2021-07-08 15:15:51 (1625771751.63523) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options.ldecod_s command: 2021-07-08 15:15:51 (1625771751.80145) Elapsed time for options.ldecod_s command: 00:00:00 (0.166222095489502) Issuing compiler-version.ldecod_s command 'specmake --output-sync --jobs=1 compiler-version TARGET=ldecod_s' Start compiler-version.ldecod_s command: 2021-07-08 15:15:51 (1625771751.80478) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.ldecod_s command: 2021-07-08 15:15:51 (1625771751.9874) Elapsed time for compiler-version.ldecod_s command: 00:00:00 (0.182620048522949) Issuing make.x264_s command 'specmake --output-sync --jobs=1 build TARGET=x264_s' Start make.x264_s command: 2021-07-08 15:15:51 (1625771751.99089) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/mc.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/mc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/predict.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/predict.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/pixel.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/pixel.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/macroblock.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/macroblock.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/frame.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/frame.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/dct.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/dct.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/cpu.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/cpu.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/cabac.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/cabac.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/common.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/mdate.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/mdate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/rectangle.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/rectangle.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/set.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/set.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/quant.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/quant.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/deblock.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/deblock.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/vlc.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/vlc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/common/mvpred.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/common/mvpred.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/analyse.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/analyse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/me.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/me.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/ratecontrol.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/ratecontrol.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/set.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/set.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/macroblock.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/macroblock.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/cabac.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/cabac.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/cavlc.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/cavlc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/encoder.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/encoder/lookahead.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/encoder/lookahead.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/input/timecode.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/input/timecode.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/input/yuv.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/input/yuv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/input/y4m.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/input/y4m.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/output/raw.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/output/raw.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/output/matroska.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/output/matroska.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/output/matroska_ebml.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/output/matroska_ebml.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/output/flv.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/output/flv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/output/flv_bytestream.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/output/flv_bytestream.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/input/thread.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/input/thread.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/x264.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/x264.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o x264_src/extras/getopt.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 x264_src/extras/getopt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing x264_src/common/mc.o x264_src/common/predict.o x264_src/common/pixel.o x264_src/common/macroblock.o x264_src/common/frame.o x264_src/common/dct.o x264_src/common/cpu.o x264_src/common/cabac.o x264_src/common/common.o x264_src/common/mdate.o x264_src/common/rectangle.o x264_src/common/set.o x264_src/common/quant.o x264_src/common/deblock.o x264_src/common/vlc.o x264_src/common/mvpred.o x264_src/encoder/analyse.o x264_src/encoder/me.o x264_src/encoder/ratecontrol.o x264_src/encoder/set.o x264_src/encoder/macroblock.o x264_src/encoder/cabac.o x264_src/encoder/cavlc.o x264_src/encoder/encoder.o x264_src/encoder/lookahead.o x264_src/input/timecode.o x264_src/input/yuv.o x264_src/input/y4m.o x264_src/output/raw.o x264_src/output/matroska.o x264_src/output/matroska_ebml.o x264_src/output/flv.o x264_src/output/f lv_bytestream.o x264_src/input/thread.o x264_src/x264.o x264_src/extras/getopt.o -lm -o x264_s lto-wrapper: warning: using serial compilation of 9 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make.x264_s command: 2021-07-08 15:16:06 (1625771766.25238) Elapsed time for make.x264_s command: 00:00:14 (14.2614960670471) Issuing options.x264_s command 'specmake --output-sync --jobs=1 options TARGET=x264_s' Start options.x264_s command: 2021-07-08 15:16:06 (1625771766.25536) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options.x264_s command: 2021-07-08 15:16:06 (1625771766.43362) Elapsed time for options.x264_s command: 00:00:00 (0.178261995315552) Issuing compiler-version.x264_s command 'specmake --output-sync --jobs=1 compiler-version TARGET=x264_s' Start compiler-version.x264_s command: 2021-07-08 15:16:06 (1625771766.43699) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.x264_s command: 2021-07-08 15:16:06 (1625771766.61893) Elapsed time for compiler-version.x264_s command: 00:00:00 (0.181943893432617) Compile for '625.x264_s' ended at: 2021-07-08 15:16:06 (1625771766) Elapsed compile for '625.x264_s': 00:00:30 (30) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/exe/deepsjeng_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/exe/deepsjeng_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 631.deepsjeng_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:16:07] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=631 NAME=deepsjeng_s SOURCES= attacks.cpp bitboard.cpp bits.cpp board.cpp draw.cpp endgame.cpp \ epd.cpp generate.cpp initp.cpp make.cpp moves.cpp neval.cpp pawn.cpp \ preproc.cpp search.cpp see.cpp sjeng.cpp state.cpp ttable.cpp utils.cpp EXEBASE=deepsjeng_s NEED_MATH= BENCHLANG=CXX BENCH_FLAGS = -DBIG_MEMORY CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = deepsjeng_s basepeak = 0 benchdir = benchspec benchmark = 631.deepsjeng_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = deepsjeng_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 lognum = 043.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = deepsjeng_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:05:45 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.663 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 631 obiwan = 1 oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 --lognum 043.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/531.deepsjeng_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = train.out Compile for '631.deepsjeng_s' started at: 2021-07-08 15:16:07 (1625771767) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:16:07 (1625771767.73092) rm -rf *.o train.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf deepsjeng_s rm -rf deepsjeng_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:16:07 (1625771767.89228) Elapsed time for make.clean command: 00:00:00 (0.161358833312988) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:16:07 (1625771767.89527) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o attacks.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 attacks.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o bitboard.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 bitboard.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o bits.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 bits.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o board.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 board.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o draw.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 draw.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o endgame.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 endgame.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o epd.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 epd.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o generate.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 generate.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o initp.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 initp.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o make.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 make.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o moves.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 moves.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o neval.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 neval.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o pawn.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 pawn.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o preproc.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 preproc.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o search.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 search.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o see.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 see.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o sjeng.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 sjeng.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o state.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 state.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ttable.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ttable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o utils.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 utils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP attacks.o bitboard.o bits.o board.o draw.o endgame.o epd.o generate.o initp.o make.o moves.o neval.o pawn.o preproc.o search.o see.o sjeng.o state.o ttable.o utils.o -o deepsjeng_s lto-wrapper: warning: using serial compilation of 2 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make command: 2021-07-08 15:16:11 (1625771771.06334) Elapsed time for make command: 00:00:03 (3.16806602478027) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:16:11 (1625771771.06632) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DBIG_MEMORY" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:16:11 (1625771771.24499) Elapsed time for options command: 00:00:00 (0.17867112159729) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:16:11 (1625771771.24841) CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:16:11 (1625771771.41618) Elapsed time for compiler-version command: 00:00:00 (0.167769908905029) Compile for '631.deepsjeng_s' ended at: 2021-07-08 15:16:11 (1625771771) Elapsed compile for '631.deepsjeng_s': 00:00:04 (4) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DBIG_MEMORY" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/exe/leela_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/exe/leela_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 641.leela_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:16:11] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=641 NAME=leela_s SOURCES= FullBoard.cpp KoState.cpp Playout.cpp TimeControl.cpp \ UCTSearch.cpp GameState.cpp Leela.cpp SGFParser.cpp Timing.cpp Utils.cpp \ FastBoard.cpp Matcher.cpp SGFTree.cpp TTable.cpp Zobrist.cpp \ FastState.cpp GTP.cpp MCOTable.cpp Random.cpp SMP.cpp UCTNode.cpp EXEBASE=leela_s NEED_MATH= BENCHLANG=CXX BENCH_FLAGS = -I. CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = leela_s basepeak = 0 benchdir = benchspec benchmark = 641.leela_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = leela_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 lognum = 043.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = leela_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:05:45 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.663 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 641 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 --lognum 043.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/541.leela_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = train.out Compile for '641.leela_s' started at: 2021-07-08 15:16:11 (1625771771) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:16:11 (1625771771.89092) rm -rf *.o train.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf leela_s rm -rf leela_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:16:12 (1625771772.05339) Elapsed time for make.clean command: 00:00:00 (0.162470102310181) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:16:12 (1625771772.05638) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FullBoard.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FullBoard.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o KoState.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 KoState.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Playout.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Playout.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TimeControl.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TimeControl.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o UCTSearch.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 UCTSearch.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GameState.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GameState.cpp GameState.cpp: In member function ‘void GameState::place_free_handicap(int)’: GameState.cpp:265:14: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 265 | std::auto_ptr search(new UCTSearch(*this)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from UCTSearch.h:4, from GameState.cpp:11: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Leela.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Leela.cpp Leela.cpp: In function ‘int main(int, char**)’: Leela.cpp:46:10: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 46 | std::auto_ptr rng(new Random(5489UL)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from Leela.cpp:2: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ Leela.cpp:51:10: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 51 | std::auto_ptr maingame(new GameState); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from Leela.cpp:2: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ Leela.cpp:57:18: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 57 | std::auto_ptr sgftree(new SGFTree); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from Leela.cpp:2: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ Leela.cpp:64:22: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 64 | std::auto_ptr search(new UCTSearch(*maingame)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from Leela.cpp:2: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SGFParser.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SGFParser.cpp SGFParser.cpp: In static member function ‘static void SGFParser::parse(std::istringstream&, SGFTree*)’: SGFParser.cpp:161:18: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 161 | std::auto_ptr newnode(new SGFTree); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/locale_conv.h:41, from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/locale:43, from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/iomanip:43, from SGFParser.cpp:4: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ SGFParser.cpp:178:18: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 178 | std::auto_ptr newnode(new SGFTree); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/locale_conv.h:41, from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/locale:43, from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/iomanip:43, from SGFParser.cpp:4: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Timing.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Timing.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Utils.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Utils.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FastBoard.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FastBoard.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Matcher.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Matcher.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SGFTree.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SGFTree.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o TTable.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TTable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Zobrist.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Zobrist.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o FastState.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 FastState.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GTP.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GTP.cpp GTP.cpp: In static member function ‘static bool GTP::execute(GameState&, std::string)’: GTP.cpp:250:22: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 250 | std::auto_ptr search(new UCTSearch(game)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from UCTSearch.h:4, from GTP.cpp:13: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ GTP.cpp:290:18: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 290 | std::auto_ptr search(new UCTSearch(game)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from UCTSearch.h:4, from GTP.cpp:13: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ GTP.cpp:399:18: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 399 | std::auto_ptr search(new UCTSearch(game)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from UCTSearch.h:4, from GTP.cpp:13: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ GTP.cpp:412:14: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 412 | std::auto_ptr search(new UCTSearch(game)); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from UCTSearch.h:4, from GTP.cpp:13: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ GTP.cpp:491:14: warning: ‘template class std::auto_ptr’ is deprecated: use 'std::unique_ptr' instead [-Wdeprecated-declarations] 491 | std::auto_ptr sgftree(new SGFTree); | ^~~~~~~~ In file included from /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/memory:76, from UCTSearch.h:4, from GTP.cpp:13: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/include/c++/12.0.0/bits/unique_ptr.h:57:28: note: declared here 57 | template class auto_ptr; | ^~~~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o MCOTable.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MCOTable.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Random.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Random.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o SMP.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SMP.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o UCTNode.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 UCTNode.cpp /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP FullBoard.o KoState.o Playout.o TimeControl.o UCTSearch.o GameState.o Leela.o SGFParser.o Timing.o Utils.o FastBoard.o Matcher.o SGFTree.o TTable.o Zobrist.o FastState.o GTP.o MCOTable.o Random.o SMP.o UCTNode.o -o leela_s lto-wrapper: warning: using serial compilation of 2 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make command: 2021-07-08 15:16:21 (1625771781.76953) Elapsed time for make command: 00:00:09 (9.71314692497253) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:16:21 (1625771781.7725) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CXXFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:16:21 (1625771781.93351) Elapsed time for options command: 00:00:00 (0.161003112792969) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:16:21 (1625771781.93687) CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:16:22 (1625771782.10536) Elapsed time for compiler-version command: 00:00:00 (0.168481111526489) Compile for '641.leela_s' ended at: 2021-07-08 15:16:22 (1625771782) Elapsed compile for '641.leela_s': 00:00:11 (11) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CXXFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/exe/exchange2_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/exe/exchange2_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 648.exchange2_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:16:22] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=648 NAME=exchange2_s SOURCES= exchange2.F90 EXEBASE=exchange2_s NEED_MATH= BENCHLANG=F CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = exchange2_s basepeak = 0 benchdir = benchspec benchmark = 648.exchange2_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = exchange2_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 lognum = 043.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = exchange2_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:05:45 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.663 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 648 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 --lognum 043.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/548.exchange2_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.905000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = s.txt Compile for '648.exchange2_s' started at: 2021-07-08 15:16:22 (1625771782) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:16:22 (1625771782.53204) rm -rf *.o s.txt find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf exchange2_s rm -rf exchange2_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:16:22 (1625771782.69322) Elapsed time for make.clean command: 00:00:00 (0.161181926727295) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:16:22 (1625771782.69616) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 exchange2.F90 -o exchange2.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o exchange2.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp exchange2.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP exchange2.fppized.o -o exchange2_s lto-wrapper: warning: using serial compilation of 2 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make command: 2021-07-08 15:16:29 (1625771789.47075) Elapsed time for make command: 00:00:06 (6.77459311485291) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:16:29 (1625771789.47371) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:16:29 (1625771789.63455) Elapsed time for options command: 00:00:00 (0.160842895507812) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:16:29 (1625771789.63794) FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:16:29 (1625771789.81883) Elapsed time for compiler-version command: 00:00:00 (0.180893182754517) Compile for '648.exchange2_s' ended at: 2021-07-08 15:16:29 (1625771789) Elapsed compile for '648.exchange2_s': 00:00:07 (7) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ Checksum mismatch for executables (stored: 760f9c864c60a3783a53adfad70eac4af7c2243730e1916ba20799af7759b324) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Checksum mismatch for options (stored: 0887e0341ebd9df9202d99bdc2ece47a51654ca93ac14c37121a9ca9ef5352d3) Building 657.xz_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:16:30] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename common/tuklib_cpucores.c)): common/tuklib_cpucores.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_cpucores.h config.h $(addsuffix $(OBJ), $(basename common/tuklib_exit.c)): common/tuklib_exit.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_progname.h config.h $(addsuffix $(OBJ), $(basename common/tuklib_mbstr_fw.c)): common/tuklib_mbstr_fw.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_mbstr.h config.h $(addsuffix $(OBJ), $(basename common/tuklib_mbstr_width.c)): common/tuklib_mbstr_width.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_mbstr.h config.h $(addsuffix $(OBJ), $(basename common/tuklib_open_stdxxx.c)): common/tuklib_open_stdxxx.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_open_stdxxx.h config.h $(addsuffix $(OBJ), $(basename common/tuklib_physmem.c)): common/tuklib_physmem.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_physmem.h config.h $(addsuffix $(OBJ), $(basename common/tuklib_progname.c)): common/tuklib_progname.c common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_progname.h config.h $(addsuffix $(OBJ), $(basename liblzma/check/check.c)): liblzma/check/check.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/crc32_fast.c)): liblzma/check/crc32_fast.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/check/crc_macros.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/crc32_small.c)): liblzma/check/crc32_small.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/crc32_table.c)): liblzma/check/crc32_table.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/crc32_table_le.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/crc64_fast.c)): liblzma/check/crc64_fast.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/check/crc_macros.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/crc64_small.c)): liblzma/check/crc64_small.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/crc64_table.c)): liblzma/check/crc64_table.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/crc64_table_le.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/check/sha256.c)): liblzma/check/sha256.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/alone_decoder.c)): liblzma/common/alone_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/alone_decoder.h liblzma/common/common.h liblzma/lz/lz_decoder.h liblzma/lzma/lzma_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/alone_encoder.c)): liblzma/common/alone_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lzma/lzma_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/auto_decoder.c)): liblzma/common/auto_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/alone_decoder.h liblzma/common/common.h liblzma/common/stream_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/block_buffer_decoder.c)): liblzma/common/block_buffer_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/block_decoder.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/block_buffer_encoder.c)): liblzma/common/block_buffer_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/block_encoder.h liblzma/common/common.h liblzma/common/filter_encoder.h liblzma/lzma/lzma2_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/block_decoder.c)): liblzma/common/block_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/block_decoder.h liblzma/common/common.h liblzma/common/filter_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/block_encoder.c)): liblzma/common/block_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/block_encoder.h liblzma/common/common.h liblzma/common/filter_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/block_header_decoder.c)): liblzma/common/block_header_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/block_header_encoder.c)): liblzma/common/block_header_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/block_util.c)): liblzma/common/block_util.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/index.h $(addsuffix $(OBJ), $(basename liblzma/common/common.c)): liblzma/common/common.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/easy_buffer_encoder.c)): liblzma/common/easy_buffer_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/easy_preset.h $(addsuffix $(OBJ), $(basename liblzma/common/easy_decoder_memusage.c)): liblzma/common/easy_decoder_memusage.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/easy_preset.h $(addsuffix $(OBJ), $(basename liblzma/common/easy_encoder.c)): liblzma/common/easy_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/easy_preset.h liblzma/common/stream_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/easy_encoder_memusage.c)): liblzma/common/easy_encoder_memusage.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/easy_preset.h $(addsuffix $(OBJ), $(basename liblzma/common/easy_preset.c)): liblzma/common/easy_preset.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/easy_preset.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_buffer_decoder.c)): liblzma/common/filter_buffer_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_buffer_encoder.c)): liblzma/common/filter_buffer_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_common.c)): liblzma/common/filter_common.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_common.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_decoder.c)): liblzma/common/filter_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_common.h liblzma/common/filter_decoder.h liblzma/delta/delta_common.h liblzma/delta/delta_decoder.h liblzma/lzma/lzma2_decoder.h liblzma/lzma/lzma_decoder.h liblzma/simple/simple_coder.h liblzma/simple/simple_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_encoder.c)): liblzma/common/filter_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_common.h liblzma/common/filter_encoder.h liblzma/delta/delta_common.h liblzma/delta/delta_encoder.h liblzma/lzma/lzma2_encoder.h liblzma/lzma/lzma_encoder.h liblzma/simple/simple_coder.h liblzma/simple/simple_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_flags_decoder.c)): liblzma/common/filter_flags_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/filter_flags_encoder.c)): liblzma/common/filter_flags_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/filter_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/hardware_physmem.c)): liblzma/common/hardware_physmem.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h common/tuklib_physmem.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/index.c)): liblzma/common/index.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/index.h liblzma/common/stream_flags_common.h $(addsuffix $(OBJ), $(basename liblzma/common/index_decoder.c)): liblzma/common/index_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h liblzma/common/index.h $(addsuffix $(OBJ), $(basename liblzma/common/index_encoder.c)): liblzma/common/index_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h liblzma/common/index.h liblzma/common/index_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/index_hash.c)): liblzma/common/index_hash.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h liblzma/common/index.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_buffer_decoder.c)): liblzma/common/stream_buffer_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/stream_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_buffer_encoder.c)): liblzma/common/stream_buffer_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/index.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_decoder.c)): liblzma/common/stream_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/block_decoder.h liblzma/common/common.h liblzma/common/stream_decoder.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_encoder.c)): liblzma/common/stream_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/block_encoder.h liblzma/common/common.h liblzma/common/index_encoder.h liblzma/common/stream_encoder.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_flags_common.c)): liblzma/common/stream_flags_common.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/stream_flags_common.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_flags_decoder.c)): liblzma/common/stream_flags_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/stream_flags_common.h $(addsuffix $(OBJ), $(basename liblzma/common/stream_flags_encoder.c)): liblzma/common/stream_flags_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/common/stream_flags_common.h $(addsuffix $(OBJ), $(basename liblzma/common/vli_decoder.c)): liblzma/common/vli_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/vli_encoder.c)): liblzma/common/vli_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/common/vli_size.c)): liblzma/common/vli_size.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/delta/delta_common.c)): liblzma/delta/delta_common.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/delta/delta_common.h liblzma/delta/delta_private.h $(addsuffix $(OBJ), $(basename liblzma/delta/delta_decoder.c)): liblzma/delta/delta_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/delta/delta_common.h liblzma/delta/delta_decoder.h liblzma/delta/delta_private.h $(addsuffix $(OBJ), $(basename liblzma/delta/delta_encoder.c)): liblzma/delta/delta_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/delta/delta_common.h liblzma/delta/delta_encoder.h liblzma/delta/delta_private.h $(addsuffix $(OBJ), $(basename liblzma/lz/lz_decoder.c)): liblzma/lz/lz_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_decoder.h $(addsuffix $(OBJ), $(basename liblzma/lz/lz_encoder.c)): liblzma/lz/lz_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h liblzma/lz/lz_encoder.h liblzma/lz/lz_encoder_hash.h $(addsuffix $(OBJ), $(basename liblzma/lz/lz_encoder_mf.c)): liblzma/lz/lz_encoder_mf.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/check/check.h liblzma/common/common.h liblzma/lz/lz_encoder.h liblzma/lz/lz_encoder_hash.h $(addsuffix $(OBJ), $(basename liblzma/lzma/fastpos_table.c)): liblzma/lzma/fastpos_table.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lzma/fastpos.h $(addsuffix $(OBJ), $(basename liblzma/lzma/fastpos_tablegen.c)): liblzma/lzma/fastpos_tablegen.c liblzma/lzma/fastpos.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma2_decoder.c)): liblzma/lzma/lzma2_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_decoder.h liblzma/lzma/lzma2_decoder.h liblzma/lzma/lzma_decoder.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma2_encoder.c)): liblzma/lzma/lzma2_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_encoder.h liblzma/lzma/fastpos.h liblzma/lzma/lzma2_encoder.h liblzma/lzma/lzma_encoder.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma_decoder.c)): liblzma/lzma/lzma_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_decoder.h liblzma/lzma/lzma_common.h liblzma/lzma/lzma_decoder.h liblzma/rangecoder/range_common.h liblzma/rangecoder/range_decoder.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma_encoder.c)): liblzma/lzma/lzma_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_encoder.h liblzma/lzma/fastpos.h liblzma/lzma/lzma2_encoder.h liblzma/lzma/lzma_common.h liblzma/lzma/lzma_encoder.h liblzma/lzma/lzma_encoder_private.h liblzma/rangecoder/price.h liblzma/rangecoder/range_common.h liblzma/rangecoder/range_encoder.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma_encoder_optimum_fast.c)): liblzma/lzma/lzma_encoder_optimum_fast.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_encoder.h liblzma/lzma/lzma_common.h liblzma/lzma/lzma_encoder.h liblzma/lzma/lzma_encoder_private.h liblzma/rangecoder/price.h liblzma/rangecoder/range_common.h liblzma/rangecoder/range_encoder.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma_encoder_optimum_normal.c)): liblzma/lzma/lzma_encoder_optimum_normal.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/lz/lz_encoder.h liblzma/lzma/fastpos.h liblzma/lzma/lzma_common.h liblzma/lzma/lzma_encoder.h liblzma/lzma/lzma_encoder_private.h liblzma/rangecoder/price.h liblzma/rangecoder/range_common.h liblzma/rangecoder/range_encoder.h $(addsuffix $(OBJ), $(basename liblzma/lzma/lzma_encoder_presets.c)): liblzma/lzma/lzma_encoder_presets.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h $(addsuffix $(OBJ), $(basename liblzma/rangecoder/price_table.c)): liblzma/rangecoder/price_table.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/rangecoder/price.h liblzma/rangecoder/range_common.h liblzma/rangecoder/range_encoder.h $(addsuffix $(OBJ), $(basename liblzma/rangecoder/price_tablegen.c)): liblzma/rangecoder/price_tablegen.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/rangecoder/price.h liblzma/rangecoder/range_common.h $(addsuffix $(OBJ), $(basename liblzma/simple/arm.c)): liblzma/simple/arm.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename liblzma/simple/armthumb.c)): liblzma/simple/armthumb.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename liblzma/simple/ia64.c)): liblzma/simple/ia64.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename liblzma/simple/powerpc.c)): liblzma/simple/powerpc.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename liblzma/simple/simple_coder.c)): liblzma/simple/simple_coder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename liblzma/simple/simple_decoder.c)): liblzma/simple/simple_decoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_decoder.h $(addsuffix $(OBJ), $(basename liblzma/simple/simple_encoder.c)): liblzma/simple/simple_encoder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_encoder.h $(addsuffix $(OBJ), $(basename liblzma/simple/sparc.c)): liblzma/simple/sparc.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename liblzma/simple/x86.c)): liblzma/simple/x86.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_integer.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h liblzma/common/common.h liblzma/simple/simple_coder.h liblzma/simple/simple_private.h $(addsuffix $(OBJ), $(basename pxz.c)): pxz.c liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h $(addsuffix $(OBJ), $(basename sha-2/sha512.c)): sha-2/sha512.c sha-2/sha512.h $(addsuffix $(OBJ), $(basename spec.c)): spec.c liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h $(addsuffix $(OBJ), $(basename spec_mem_io/spec_mem_io.c)): spec_mem_io/spec_mem_io.c liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h sha-2/sha512.h spec_mem_io/spec_mem_io.h $(addsuffix $(OBJ), $(basename spec_xz.c)): spec_xz.c liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h $(addsuffix $(OBJ), $(basename xz/args.c)): xz/args.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/coder.c)): xz/coder.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/file_io.c)): xz/file_io.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_open_stdxxx.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/hardware.c)): xz/hardware.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_cpucores.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/list.c)): xz/list.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_integer.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/main.c)): xz/main.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/message.c)): xz/message.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/options.c)): xz/options.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h $(addsuffix $(OBJ), $(basename xz/util.c)): xz/util.c common/mythread.h common/sysdefs.h common/tuklib_common.h common/tuklib_config.h common/tuklib_exit.h common/tuklib_gettext.h common/tuklib_mbstr.h common/tuklib_progname.h config.h liblzma/api/lzma.h liblzma/api/lzma/base.h liblzma/api/lzma/bcj.h liblzma/api/lzma/block.h liblzma/api/lzma/check.h liblzma/api/lzma/container.h liblzma/api/lzma/delta.h liblzma/api/lzma/filter.h liblzma/api/lzma/hardware.h liblzma/api/lzma/index.h liblzma/api/lzma/index_hash.h liblzma/api/lzma/lzma.h liblzma/api/lzma/stream_flags.h liblzma/api/lzma/version.h liblzma/api/lzma/vli.h spec_mem_io/spec_mem_io.h xz/args.h xz/coder.h xz/file_io.h xz/hardware.h xz/list.h xz/main.h xz/message.h xz/options.h xz/private.h xz/signals.h xz/util.h Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=657 NAME=xz_s SOURCES= spec.c spec_xz.c pxz.c common/tuklib_physmem.c \ liblzma/common/common.c liblzma/common/block_util.c \ liblzma/common/easy_preset.c liblzma/common/filter_common.c \ liblzma/common/hardware_physmem.c liblzma/common/index.c \ liblzma/common/stream_flags_common.c liblzma/common/vli_size.c \ liblzma/common/alone_encoder.c liblzma/common/block_buffer_encoder.c \ liblzma/common/block_encoder.c liblzma/common/block_header_encoder.c \ liblzma/common/easy_buffer_encoder.c liblzma/common/easy_encoder.c \ liblzma/common/easy_encoder_memusage.c \ liblzma/common/filter_buffer_encoder.c liblzma/common/filter_encoder.c \ liblzma/common/filter_flags_encoder.c liblzma/common/index_encoder.c \ liblzma/common/stream_buffer_encoder.c liblzma/common/stream_encoder.c \ liblzma/common/stream_flags_encoder.c liblzma/common/vli_encoder.c \ liblzma/common/alone_decoder.c liblzma/common/auto_decoder.c \ liblzma/common/block_buffer_decoder.c liblzma/common/block_decoder.c \ liblzma/common/block_header_decoder.c \ liblzma/common/easy_decoder_memusage.c \ liblzma/common/filter_buffer_decoder.c liblzma/common/filter_decoder.c \ liblzma/common/filter_flags_decoder.c liblzma/common/index_decoder.c \ liblzma/common/index_hash.c liblzma/common/stream_buffer_decoder.c \ liblzma/common/stream_decoder.c liblzma/common/stream_flags_decoder.c \ liblzma/common/vli_decoder.c liblzma/check/check.c \ liblzma/check/crc32_table.c liblzma/check/crc32_fast.c \ liblzma/check/crc64_table.c liblzma/check/crc64_fast.c \ liblzma/check/sha256.c liblzma/lz/lz_encoder.c \ liblzma/lz/lz_encoder_mf.c liblzma/lz/lz_decoder.c \ liblzma/lzma/lzma_encoder.c liblzma/lzma/lzma_encoder_presets.c \ liblzma/lzma/lzma_encoder_optimum_fast.c \ liblzma/lzma/lzma_encoder_optimum_normal.c liblzma/lzma/fastpos_table.c \ liblzma/lzma/lzma_decoder.c liblzma/lzma/lzma2_encoder.c \ liblzma/lzma/lzma2_decoder.c liblzma/rangecoder/price_table.c \ liblzma/delta/delta_common.c liblzma/delta/delta_encoder.c \ liblzma/delta/delta_decoder.c liblzma/simple/simple_coder.c \ liblzma/simple/simple_encoder.c liblzma/simple/simple_decoder.c \ liblzma/simple/x86.c liblzma/simple/powerpc.c liblzma/simple/ia64.c \ liblzma/simple/arm.c liblzma/simple/armthumb.c liblzma/simple/sparc.c \ xz/args.c xz/coder.c xz/file_io.c xz/hardware.c xz/list.c xz/main.c \ xz/message.c xz/options.c xz/signals.c xz/util.c \ common/tuklib_open_stdxxx.c common/tuklib_progname.c \ common/tuklib_exit.c common/tuklib_cpucores.c \ common/tuklib_mbstr_width.c common/tuklib_mbstr_fw.c \ spec_mem_io/spec_mem_io.c sha-2/sha512.c EXEBASE=xz_s NEED_MATH= BENCHLANG=C BENCH_FLAGS = -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = xz_s basepeak = 0 benchdir = benchspec benchmark = 657.xz_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = xz_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 lognum = 043.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = xz_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:05:45 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.663 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 657 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 --lognum 043.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/557.xz_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.906000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = IMG_2560.cr2-40-4.out input.combined-40-8.out Compile for '657.xz_s' started at: 2021-07-08 15:16:30 (1625771790) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:16:30 (1625771790.67786) rm -rf *.o IMG_2560.cr2-40-4.out input.combined-40-8.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf xz_s rm -rf xz_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:16:30 (1625771790.84033) Elapsed time for make.clean command: 00:00:00 (0.162477016448975) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:16:30 (1625771790.84341) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spec.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 spec.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spec_xz.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 spec_xz.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pxz.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 pxz.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_physmem.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_physmem.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/common.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_util.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_util.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/easy_preset.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/easy_preset.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_common.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/hardware_physmem.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/hardware_physmem.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/index.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/index.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_flags_common.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_flags_common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/vli_size.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/vli_size.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/alone_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/alone_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_buffer_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_buffer_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_header_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_header_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/easy_buffer_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/easy_buffer_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/easy_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/easy_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/easy_encoder_memusage.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/easy_encoder_memusage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_buffer_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_buffer_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_flags_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_flags_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/index_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/index_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_buffer_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_buffer_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_flags_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_flags_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/vli_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/vli_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/alone_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/alone_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/auto_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/auto_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_buffer_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_buffer_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/block_header_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/block_header_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/easy_decoder_memusage.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/easy_decoder_memusage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_buffer_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_buffer_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/filter_flags_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/filter_flags_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/index_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/index_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/index_hash.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/index_hash.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_buffer_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_buffer_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/stream_flags_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/stream_flags_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/common/vli_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/common/vli_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/check/check.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/check/check.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/check/crc32_table.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/check/crc32_table.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/check/crc32_fast.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/check/crc32_fast.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/check/crc64_table.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/check/crc64_table.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/check/crc64_fast.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/check/crc64_fast.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/check/sha256.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/check/sha256.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lz/lz_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lz/lz_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lz/lz_encoder_mf.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lz/lz_encoder_mf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lz/lz_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lz/lz_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma_encoder_presets.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma_encoder_presets.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma_encoder_optimum_fast.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma_encoder_optimum_fast.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma_encoder_optimum_normal.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma_encoder_optimum_normal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/fastpos_table.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/fastpos_table.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma2_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma2_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/lzma/lzma2_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/lzma/lzma2_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/rangecoder/price_table.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/rangecoder/price_table.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/delta/delta_common.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/delta/delta_common.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/delta/delta_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/delta/delta_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/delta/delta_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/delta/delta_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/simple_coder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/simple_coder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/simple_encoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/simple_encoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/simple_decoder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/simple_decoder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/x86.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/x86.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/powerpc.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/powerpc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/ia64.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/ia64.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/arm.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/arm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/armthumb.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/armthumb.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o liblzma/simple/sparc.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 liblzma/simple/sparc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/args.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/args.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/coder.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/coder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/file_io.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/file_io.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/hardware.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/hardware.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/list.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/list.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/main.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/main.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/message.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/message.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/options.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/options.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/signals.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/signals.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o xz/util.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 xz/util.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_open_stdxxx.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_open_stdxxx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_progname.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_progname.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_exit.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_exit.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_cpucores.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_cpucores.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_mbstr_width.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_mbstr_width.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o common/tuklib_mbstr_fw.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 common/tuklib_mbstr_fw.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spec_mem_io/spec_mem_io.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 spec_mem_io/spec_mem_io.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sha-2/sha512.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 sha-2/sha512.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing spec.o spec_xz.o pxz.o common/tuklib_physmem.o liblzma/common/common.o liblzma/common/block_util.o liblzma/common/easy_preset.o liblzma/common/filter_common.o liblzma/common/hardware_physmem.o liblzma/common/index.o liblzma/common/stream_flags_common.o liblzma/common/vli_size.o liblzma/common/alone_encoder.o liblzma/common/block_buffer_encoder.o liblzma/common/block_encoder.o liblzma/common/block_header_encoder.o liblzma/common/easy_buffer_encoder.o liblzma/common/easy_encoder.o liblzma/common/easy_encoder_memusage.o liblzma/common/filter_buffer_encoder.o liblzma/common/filter_encoder.o liblzma/common/filter_flags_encoder.o liblzma/common/index_encoder.o liblzma/common/stream_buffer_encoder.o liblzma/common/stream_encoder.o liblzma/common/stream_flags_encoder.o liblzma/common/vli_encoder.o liblzma/common /alone_decoder.o liblzma/common/auto_decoder.o liblzma/common/block_buffer_decoder.o liblzma/common/block_decoder.o liblzma/common/block_header_decoder.o liblzma/common/easy_decoder_memusage.o liblzma/common/filter_buffer_decoder.o liblzma/common/filter_decoder.o liblzma/common/filter_flags_decoder.o liblzma/common/index_decoder.o liblzma/common/index_hash.o liblzma/common/stream_buffer_decoder.o liblzma/common/stream_decoder.o liblzma/common/stream_flags_decoder.o liblzma/common/vli_decoder.o liblzma/check/check.o liblzma/check/crc32_table.o liblzma/check/crc32_fast.o liblzma/check/crc64_table.o liblzma/check/crc64_fast.o liblzma/check/sha256.o liblzma/lz/lz_encoder.o liblzma/lz/lz_encoder_mf.o liblzma/lz/lz_decoder.o liblzma/lzma/lzma_encoder.o liblzma/lzma/lzma_encoder_presets.o liblzma/lzma/lzma_encoder_optimum_fast.o liblzma/lzma/lzma_encoder_optimum_normal.o liblzma/lzma/fastpos_table.o liblzma/lzma/lzma_decoder.o liblzma/lzma/lzma2_encoder.o liblzma/lzma/lzma2_decoder.o liblzma/rangecoder/price_tab le.o liblzma/delta/delta_common.o liblzma/delta/delta_encoder.o liblzma/delta/delta_decoder.o liblzma/simple/simple_coder.o liblzma/simple/simple_encoder.o liblzma/simple/simple_decoder.o liblzma/simple/x86.o liblzma/simple/powerpc.o liblzma/simple/ia64.o liblzma/simple/arm.o liblzma/simple/armthumb.o liblzma/simple/sparc.o xz/args.o xz/coder.o xz/file_io.o xz/hardware.o xz/list.o xz/main.o xz/message.o xz/options.o xz/signals.o xz/util.o common/tuklib_open_stdxxx.o common/tuklib_progname.o common/tuklib_exit.o common/tuklib_cpucores.o common/tuklib_mbstr_width.o common/tuklib_mbstr_fw.o spec_mem_io/spec_mem_io.o sha-2/sha512.o -o xz_s lto-wrapper: warning: using serial compilation of 2 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make command: 2021-07-08 15:16:35 (1625771795.50509) Elapsed time for make command: 00:00:04 (4.66167998313904) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:16:35 (1625771795.50819) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" Stop options command: 2021-07-08 15:16:35 (1625771795.66951) Elapsed time for options command: 00:00:00 (0.161319971084595) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:16:35 (1625771795.67304) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:16:35 (1625771795.84178) Elapsed time for compiler-version command: 00:00:00 (0.168739795684814) Compile for '657.xz_s' ended at: 2021-07-08 15:16:35 (1625771795) Elapsed compile for '657.xz_s': 00:00:05 (5) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/exe/specrand_is_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/exe/specrand_is_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 998.specrand_is peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:16:36] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=998 NAME=specrand_is SOURCES= main.c specrand-common/specrand.c EXEBASE=specrand_is NEED_MATH= BENCHLANG=C BENCH_FLAGS = -Ispecrand-common CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_COPTIMIZE = -fgnu89-inline -fno-strict-aliasing EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v LDCFLAGS = -z muldefs OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = specrand_is basepeak = 0 benchdir = benchspec benchmark = 998.specrand_is binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = specrand_is_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = 1 force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 lognum = 043.0 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = specrand_is nansupport = 0 need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:05:45 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.663 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 46G 137G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 998 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed intspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0 --lognum 043.0 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/999.specrand_ir/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = rand.11.out Compile for '998.specrand_is' started at: 2021-07-08 15:16:36 (1625771796) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:16:36 (1625771796.28218) rm -rf *.o rand.11.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf specrand_is rm -rf specrand_is.exe rm -rf core Stop make.clean command: 2021-07-08 15:16:36 (1625771796.44347) Elapsed time for make.clean command: 00:00:00 (0.161293983459473) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:16:36 (1625771796.44641) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o main.o -DSPEC -DNDEBUG -Ispecrand-common -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 main.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o specrand-common/specrand.o -DSPEC -DNDEBUG -Ispecrand-common -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 specrand-common/specrand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing main.o specrand-common/specrand.o -o specrand_is Stop make command: 2021-07-08 15:16:36 (1625771796.70799) Elapsed time for make command: 00:00:00 (0.261584997177124) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:16:36 (1625771796.71089) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand-common -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand-common" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" Stop options command: 2021-07-08 15:16:36 (1625771796.8891) Elapsed time for options command: 00:00:00 (0.178210973739624) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:16:36 (1625771796.89244) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:16:37 (1625771797.05425) Elapsed time for compiler-version command: 00:00:00 (0.161813020706177) Compile for '998.specrand_is' ended at: 2021-07-08 15:16:37 (1625771797) Elapsed compile for '998.specrand_is': 00:00:01 (1) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand-common -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand-common" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Build successes for intspeed: 600.perlbench_s(peak), 602.gcc_s(peak), 605.mcf_s(peak), 620.omnetpp_s(peak), 623.xalancbmk_s(peak), 625.x264_s(peak), 631.deepsjeng_s(peak), 641.leela_s(peak), 648.exchange2_s(peak), 657.xz_s(peak), 998.specrand_is(peak) Build errors for intspeed: None Build Complete runcpu finished at 2021-07-08 15:16:37; 651 total seconds elapsed Info: file_tail(29009): file '/notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.intspeed.043.0.debug' was removed and will no longer be followed. No action is required. ------------------------------------------------------------------------------- Setting up environment for running fpspeed... Starting runcpu for fpspeed... Running "specperl /notnfs/vmakarov/spec2017/bin/sysinfo" to gather system information. sysinfo: r5797 of 2017-06-14 (96c45e4568ad54c135fd618bcc091c0f) sysinfo: Getting system information for Linux... sysinfo: ...getting CPU info sysinfo: ...getting info from numactl sysinfo: ...getting memory info sysinfo: ...getting OS info sysinfo: ...getting disk info sysinfo: ...trying to get DIMM info from dmidecode Retrieving flags file (/notnfs/vmakarov/spec2017/config/flags/gcc.xml)... Verbosity = 5 Action = build Tune = peak Label = x86_64-m64 Size = refspeed benchmarks= 603.bwaves_s,607.cactuBSSN_s,619.lbm_s,621.wrf_s,627.cam4_s,628.pop2_s,638.imagick_s,644.nab_s,649.fotonik3d_s,654.roms_s,996.specrand_fs outputs = txt,html,cfg,pdf,csv username = vmakarov Environment settings: LD_LIBRARY_PATH = "%{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64" OMP_STACKSIZE = "120M" Benchmarks selected: 603.bwaves_s, 607.cactuBSSN_s, 619.lbm_s, 621.wrf_s, 627.cam4_s, 628.pop2_s, 638.imagick_s, 644.nab_s, 649.fotonik3d_s, 654.roms_s, 996.specrand_fs When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/exe/speed_bwaves_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/exe/cactuBSSN_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/exe/lbm_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/exe/diffwrf_621_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/exe/wrf_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_s_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_validate_627_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/exe/speed_pop2_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagevalidate_638_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagick_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/exe/nab_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/exe/fotonik3d_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/exe/sroms_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/exe/specrand_fs_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/exe/speed_bwaves_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/exe/cactuBSSN_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/exe/lbm_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/exe/diffwrf_621_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/exe/wrf_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_s_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_validate_627_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/exe/speed_pop2_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagevalidate_638_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagick_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/exe/nab_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/exe/fotonik3d_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/exe/sroms_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/exe/specrand_fs_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Compiling Binaries ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/exe/speed_bwaves_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/exe/speed_bwaves_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 603.bwaves_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:16:38] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=603 NAME=bwaves_s SOURCES= block_solver.F flow_lam.F flux_lam.F jacobian_lam.F shell_lam.F \ fill1.F fill2.F EXEBASE=speed_bwaves NEED_MATH= BENCHLANG=F CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_FOPTIMIZE = -fno-stack-arrays EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 action = build allow_label_override = 0 backup_config = 1 baseexe = speed_bwaves basepeak = 0 benchdir = benchspec benchmark = 603.bwaves_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = speed_bwaves_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = bwaves_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 603 obiwan = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/503.bwaves_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = bwaves_1.out bwaves_2.out Compile for '603.bwaves_s' started at: 2021-07-08 15:16:38 (1625771798) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:16:38 (1625771798.43495) rm -rf *.o bwaves_1.out bwaves_2.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf speed_bwaves rm -rf speed_bwaves.exe rm -rf core Stop make.clean command: 2021-07-08 15:16:38 (1625771798.59625) Elapsed time for make.clean command: 00:00:00 (0.161298036575317) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:16:38 (1625771798.59918) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 block_solver.F -o block_solver.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o block_solver.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -fno-stack-arrays block_solver.fppized.f /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 flow_lam.F -o flow_lam.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o flow_lam.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -fno-stack-arrays flow_lam.fppized.f /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 flux_lam.F -o flux_lam.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o flux_lam.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -fno-stack-arrays flux_lam.fppized.f /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 jacobian_lam.F -o jacobian_lam.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o jacobian_lam.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -fno-stack-arrays jacobian_lam.fppized.f /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 shell_lam.F -o shell_lam.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shell_lam.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -fno-stack-arrays shell_lam.fppized.f /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 fill1.F -o fill1.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fill1.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -fno-stack-arrays fill1.fppized.f /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 fill2.F -o fill2.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fill2.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -fno-stack-arrays fill2.fppized.f /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fno-stack-arrays block_solver.fppized.o flow_lam.fppized.o flux_lam.fppized.o jacobian_lam.fppized.o shell_lam.fppized.o fill1.fppized.o fill2.fppized.o -o speed_bwaves Stop make command: 2021-07-08 15:16:40 (1625771800.40384) Elapsed time for make command: 00:00:01 (1.8046600818634) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:16:40 (1625771800.40884) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -fno-stack-arrays " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="-fno-stack-arrays" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fno-stack-arrays -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="-fno-stack-arrays" C: LDOUT="-o options" Stop options command: 2021-07-08 15:16:40 (1625771800.5751) Elapsed time for options command: 00:00:00 (0.166262149810791) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:16:40 (1625771800.57894) FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:16:40 (1625771800.74653) Elapsed time for compiler-version command: 00:00:00 (0.167594909667969) Compile for '603.bwaves_s' ended at: 2021-07-08 15:16:40 (1625771800) Elapsed compile for '603.bwaves_s': 00:00:02 (2) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -fno-stack-arrays " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="-fno-stack-arrays" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fno-stack-arrays -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="-fno-stack-arrays" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/exe/cactuBSSN_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/exe/cactuBSSN_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 607.cactuBSSN_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:16:41] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename Fortran/cctk.f90)): Fortran/cctk.f90 $(addsuffix $(OBJ),$(basename Fortran/cctk_ActiveThorns.f90 Fortran/cctk_Banner.f90 Fortran/cctk_Cache.f90 Fortran/cctk_Comm.f90 Fortran/cctk_CommandLine.f90 Fortran/cctk_Complex.f90 Fortran/cctk_Constants.f90 Fortran/cctk_Coord.f90 Fortran/cctk_DebugDefines.f90 Fortran/cctk_Faces.f90 Fortran/cctk_File.f90 Fortran/cctk_Flesh.f90 Fortran/cctk_FortranString1.f90 Fortran/cctk_FortranWrappers.f90 Fortran/cctk_GHExtensions.f90 Fortran/cctk_GNU.f90 Fortran/cctk_Groups.f90 Fortran/cctk_GroupsOnGH.f90 Fortran/cctk_IO.f90 Fortran/cctk_IOMethods.f90 Fortran/cctk_Interp.f90 Fortran/cctk_Main.f90 Fortran/cctk_Malloc1.f90 Fortran/cctk_Math.f90 Fortran/cctk_MemAlloc.f90 Fortran/cctk_Misc.f90 Fortran/cctk_ParamCheck.f90 Fortran/cctk_Parameter.f90 Fortran/cctk_Reduction.f90 Fortran/cctk_Schedule.f90 Fortran/cctk_Sync.f90 Fortran/cctk_Termination.f90 Fortran/cctk_Timers.f90 Fortran/cctk_Types.f90 Fortran/cctk_Version.f90 Fortran/cctk_WarnLevel.f90 Fortran /util_Table.f90) ) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=607 NAME=cactuBSSN_s SOURCES= gnu/getopt.c gnu/getopt1.c gnu/regex.c ADMBase/InitSymBound.c \ ADMBase/Initialisation.c ADMBase/ParamCheck.c ADMBase/Static.c \ ADMBase/cctk_Bindings/cctk_ThornBindings.c \ ADMCoupling/cctk_Bindings/cctk_ThornBindings.c \ ADMMacros/SetLocalSpatialOrder.c \ ADMMacros/cctk_Bindings/cctk_ThornBindings.c Boundary/Boundary.c \ Boundary/Check.c Boundary/CopyBoundary.c Boundary/FlatBoundary.c \ Boundary/NoneBoundary.c Boundary/RadiationBoundary.c Boundary/Register.c \ Boundary/RobinBoundary.c Boundary/ScalarBoundary.c \ Boundary/StaticBoundary.c Boundary/cctk_Bindings/cctk_ThornBindings.c \ Cactus/IO/FortranBindingsIO.c Cactus/IO/IOMethods.c \ Cactus/IO/OverloadIO.c Cactus/cctk_Bindings/cctk_ThornBindings.c \ Cactus/comm/CactusDefaultComm.c Cactus/comm/CactusSync.c \ Cactus/comm/Interp.c Cactus/comm/OverloadComm.c Cactus/comm/Reduction.c \ Cactus/main/ActiveThorns.c Cactus/main/Banner.c \ Cactus/main/CactusDefaultEvolve.c Cactus/main/CactusDefaultInitialise.c \ Cactus/main/CactusDefaultMainLoopIndex.c \ Cactus/main/CactusDefaultShutdown.c Cactus/main/CallStartupFunctions.c \ Cactus/main/CommandLine.c Cactus/main/Complex.c Cactus/main/ConfigData.c \ Cactus/main/Coord.c Cactus/main/DebugDefines.c \ Cactus/main/DefaultTimers.c Cactus/main/Dummies.c \ Cactus/main/FortranWrappers.c Cactus/main/GHExtensions.c \ Cactus/main/Groups.c Cactus/main/GroupsOnGH.c \ Cactus/main/InitialiseCactus.c Cactus/main/InitialiseDataStructures.c \ Cactus/main/MainUtils.c Cactus/main/Names.c Cactus/main/OverloadMain.c \ Cactus/main/Parameters.c Cactus/main/ProcessCommandLine.c \ Cactus/main/ProcessEnvironment.c Cactus/main/ProcessParameterDatabase.c \ Cactus/main/ScheduleInterface.c Cactus/main/SetParams.c \ Cactus/main/SetupCache.c Cactus/main/ShutdownCactus.c \ Cactus/main/Subsystems.c Cactus/main/Termination.c \ Cactus/main/Traverse.c Cactus/main/WarnLevel.c Cactus/main/flesh.cc \ Cactus/piraha/AutoGrammar.cc Cactus/piraha/Boundary.cc \ Cactus/piraha/Bracket.cc Cactus/piraha/Call.cc Cactus/piraha/Dot.cc \ Cactus/piraha/End.cc Cactus/piraha/Grammar.cc Cactus/piraha/Group.cc \ Cactus/piraha/ILiteral.cc Cactus/piraha/Literal.cc \ Cactus/piraha/Lookup.cc Cactus/piraha/Matcher.cc Cactus/piraha/Multi.cc \ Cactus/piraha/NegLookAhead.cc Cactus/piraha/Or.cc \ Cactus/piraha/ReParse.cc Cactus/piraha/Seq.cc Cactus/piraha/Start.cc \ Cactus/piraha/smart_ptr.cc Cactus/schedule/ScheduleCreater.c \ Cactus/schedule/ScheduleSorter.c Cactus/schedule/ScheduleTraverse.c \ Cactus/util/Cache.c Cactus/util/CactusTimers.c Cactus/util/Expression.c \ Cactus/util/File.c Cactus/util/Hash.c Cactus/util/Malloc.c \ Cactus/util/Math.c Cactus/util/Misc.c Cactus/util/Network.c \ Cactus/util/ParseFile.c Cactus/util/RegisterKeyedFunction.c \ Cactus/util/SKBinTree.c Cactus/util/StoreHandledData.c \ Cactus/util/StoreKeyedData.c Cactus/util/StoreNamedData.c \ Cactus/util/String.c Cactus/util/StringList.c Cactus/util/Table.c \ Cactus/util/Time.c Cactus/util/snprintf.c \ CactusBindings/Functions/ADMBase_Functions.c \ CactusBindings/Functions/AliasedFunctions.c \ CactusBindings/Functions/Boundary_Functions.c \ CactusBindings/Functions/CartGrid3D_Functions.c \ CactusBindings/Functions/CoordBase_Functions.c \ CactusBindings/Functions/GaugeWave_Functions.c \ CactusBindings/Functions/GenericFD_Functions.c \ CactusBindings/Functions/IOASCII_Functions.c \ CactusBindings/Functions/IOBasic_Functions.c \ CactusBindings/Functions/IOUtil_Functions.c \ CactusBindings/Functions/IsFunctionAliased.c \ CactusBindings/Functions/ML_BSSN_Functions.c \ CactusBindings/Functions/ML_BSSN_Helper_Functions.c \ CactusBindings/Functions/MoL_Functions.c \ CactusBindings/Functions/PUGHInterp_Functions.c \ CactusBindings/Functions/PUGHSlab_Functions.c \ CactusBindings/Functions/RegisterThornFunctions.c \ CactusBindings/Functions/StaticConformal_Functions.c \ CactusBindings/Functions/SymBase_Functions.c \ CactusBindings/Implementations/ImplementationBindings.c \ CactusBindings/Parameters/ADMBase_Parameters.c \ CactusBindings/Parameters/ADMCoupling_Parameters.c \ CactusBindings/Parameters/ADMMacros_Parameters.c \ CactusBindings/Parameters/BindingsParameters.c \ CactusBindings/Parameters/Boundary_Parameters.c \ CactusBindings/Parameters/Cactus_Parameters.c \ CactusBindings/Parameters/CartGrid3D_Parameters.c \ CactusBindings/Parameters/CoordBase_Parameters.c \ CactusBindings/Parameters/CoordGauge_Parameters.c \ CactusBindings/Parameters/Fortran_Parameters.c \ CactusBindings/Parameters/GaugeWave_Parameters.c \ CactusBindings/Parameters/GenericFD_Parameters.c \ CactusBindings/Parameters/Global.c \ CactusBindings/Parameters/IOASCII_Parameters.c \ CactusBindings/Parameters/IOBasic_Parameters.c \ CactusBindings/Parameters/IOUtil_Parameters.c \ CactusBindings/Parameters/LocalReduce_Parameters.c \ CactusBindings/Parameters/ML_BSSN_Helper_Parameters.c \ CactusBindings/Parameters/ML_BSSN_Parameters.c \ CactusBindings/Parameters/MoL_Parameters.c \ CactusBindings/Parameters/PUGHInterp_Parameters.c \ CactusBindings/Parameters/PUGHReduce_Parameters.c \ CactusBindings/Parameters/PUGHSlab_Parameters.c \ CactusBindings/Parameters/PUGH_Parameters.c \ CactusBindings/Parameters/StaticConformal_Parameters.c \ CactusBindings/Parameters/SymBase_Parameters.c \ CactusBindings/Parameters/Time_Parameters.c \ CactusBindings/Parameters/TmunuBase_Parameters.c \ CactusBindings/Schedule/BindingsParameterRecovery.c \ CactusBindings/Schedule/BindingsSchedule.c \ CactusBindings/Schedule/ScheduleADMBase.c \ CactusBindings/Schedule/ScheduleADMCoupling.c \ CactusBindings/Schedule/ScheduleADMMacros.c \ CactusBindings/Schedule/ScheduleBoundary.c \ CactusBindings/Schedule/ScheduleCactus.c \ CactusBindings/Schedule/ScheduleCartGrid3D.c \ CactusBindings/Schedule/ScheduleCoordBase.c \ CactusBindings/Schedule/ScheduleCoordGauge.c \ CactusBindings/Schedule/ScheduleFortran.c \ CactusBindings/Schedule/ScheduleGaugeWave.c \ CactusBindings/Schedule/ScheduleGenericFD.c \ CactusBindings/Schedule/ScheduleIOASCII.c \ CactusBindings/Schedule/ScheduleIOBasic.c \ CactusBindings/Schedule/ScheduleIOUtil.c \ CactusBindings/Schedule/ScheduleLocalReduce.c \ CactusBindings/Schedule/ScheduleML_BSSN.c \ CactusBindings/Schedule/ScheduleML_BSSN_Helper.c \ CactusBindings/Schedule/ScheduleMoL.c \ CactusBindings/Schedule/SchedulePUGH.c \ CactusBindings/Schedule/SchedulePUGHInterp.c \ CactusBindings/Schedule/SchedulePUGHReduce.c \ CactusBindings/Schedule/SchedulePUGHSlab.c \ CactusBindings/Schedule/ScheduleStaticConformal.c \ CactusBindings/Schedule/ScheduleSymBase.c \ CactusBindings/Schedule/ScheduleTime.c \ CactusBindings/Schedule/ScheduleTmunuBase.c \ CactusBindings/Variables/ADMBase.c \ CactusBindings/Variables/ADMCoupling.c \ CactusBindings/Variables/ADMMacros.c \ CactusBindings/Variables/BindingsVariables.c \ CactusBindings/Variables/Boundary.c CactusBindings/Variables/Cactus.c \ CactusBindings/Variables/CartGrid3D.c \ CactusBindings/Variables/CoordBase.c \ CactusBindings/Variables/CoordGauge.c CactusBindings/Variables/Fortran.c \ CactusBindings/Variables/GaugeWave.c \ CactusBindings/Variables/GenericFD.c CactusBindings/Variables/IOASCII.c \ CactusBindings/Variables/IOBasic.c CactusBindings/Variables/IOUtil.c \ CactusBindings/Variables/LocalReduce.c \ CactusBindings/Variables/ML_BSSN.c \ CactusBindings/Variables/ML_BSSN_Helper.c CactusBindings/Variables/MoL.c \ CactusBindings/Variables/PUGH.c CactusBindings/Variables/PUGHInterp.c \ CactusBindings/Variables/PUGHReduce.c \ CactusBindings/Variables/PUGHSlab.c \ CactusBindings/Variables/StaticConformal.c \ CactusBindings/Variables/SymBase.c CactusBindings/Variables/Time.c \ CactusBindings/Variables/TmunuBase.c CartGrid3D/CartGrid3D.c \ CartGrid3D/DecodeSymParameters.c CartGrid3D/GetSymmetry.c \ CartGrid3D/ParamCheck.c CartGrid3D/RegisterSymmetries.c \ CartGrid3D/SetSymmetry.c CartGrid3D/Startup.c CartGrid3D/Symmetry.c \ CartGrid3D/cctk_Bindings/cctk_ThornBindings.c CoordBase/CoordBase.c \ CoordBase/Domain.c CoordBase/GHExtension.c \ CoordBase/cctk_Bindings/cctk_ThornBindings.c CoordGauge/Slicing.c \ CoordGauge/cctk_Bindings/cctk_ThornBindings.c Fortran/cctk.f90 \ Fortran/cctk_ActiveThorns.f90 Fortran/cctk_Banner.f90 \ Fortran/cctk_Bindings/cctk_ThornBindings.c Fortran/cctk_Cache.f90 \ Fortran/cctk_Comm.f90 Fortran/cctk_CommandLine.f90 \ Fortran/cctk_Complex.f90 Fortran/cctk_Constants.f90 \ Fortran/cctk_Coord.f90 Fortran/cctk_DebugDefines.f90 \ Fortran/cctk_Faces.f90 Fortran/cctk_File.f90 Fortran/cctk_Flesh.f90 \ Fortran/cctk_FortranString1.f90 Fortran/cctk_FortranWrappers.f90 \ Fortran/cctk_GHExtensions.f90 Fortran/cctk_GNU.f90 \ Fortran/cctk_Groups.f90 Fortran/cctk_GroupsOnGH.f90 Fortran/cctk_IO.f90 \ Fortran/cctk_IOMethods.f90 Fortran/cctk_Interp.f90 Fortran/cctk_Main.f90 \ Fortran/cctk_Malloc1.f90 Fortran/cctk_Math.f90 Fortran/cctk_MemAlloc.f90 \ Fortran/cctk_Misc.f90 Fortran/cctk_ParamCheck.f90 \ Fortran/cctk_Parameter.f90 Fortran/cctk_Reduction.f90 \ Fortran/cctk_Schedule.f90 Fortran/cctk_Sync.f90 \ Fortran/cctk_Termination.f90 Fortran/cctk_Timers.f90 \ Fortran/cctk_Types.f90 Fortran/cctk_Version.f90 \ Fortran/cctk_WarnLevel.f90 Fortran/paramcheck.f90 Fortran/util_Table.f90 \ GaugeWave/Boundaries.cc GaugeWave/GaugeWave_always.cc \ GaugeWave/GaugeWave_initial.cc GaugeWave/ParamCheck.cc \ GaugeWave/RegisterMoL.cc GaugeWave/RegisterSymmetries.cc \ GaugeWave/Startup.cc GaugeWave/cctk_Bindings/cctk_ThornBindings.c \ GenericFD/GenericFD.c GenericFD/cctk_Bindings/cctk_ThornBindings.c \ IOASCII/ChooseOutput.c IOASCII/Output1D.c IOASCII/Output2D.c \ IOASCII/Output3D.c IOASCII/Startup.c IOASCII/Write1D.c IOASCII/Write2D.c \ IOASCII/Write3D.c IOASCII/cctk_Bindings/cctk_ThornBindings.c \ IOBasic/OutputInfo.c IOBasic/OutputScalar.c IOBasic/Startup.c \ IOBasic/WriteInfo.c IOBasic/WriteScalar.c \ IOBasic/cctk_Bindings/cctk_ThornBindings.c IOUtil/AdvertisedFiles.c \ IOUtil/CheckpointRecovery.c IOUtil/Startup.c IOUtil/Utils.c \ IOUtil/cctk_Bindings/cctk_ThornBindings.c LocalReduce/AvgFunctions.c \ LocalReduce/CountFunctions.c LocalReduce/MaxFunctions.c \ LocalReduce/MinFunctions.c LocalReduce/Norm1Functions.c \ LocalReduce/Norm2Functions.c LocalReduce/Norm3Functions.c \ LocalReduce/Norm4Functions.c LocalReduce/NormInfFunctions.c \ LocalReduce/Reduction.c LocalReduce/ReductionAvg.c \ LocalReduce/ReductionCount.c LocalReduce/ReductionMax.c \ LocalReduce/ReductionMin.c LocalReduce/ReductionNorm1.c \ LocalReduce/ReductionNorm2.c LocalReduce/ReductionNorm3.c \ LocalReduce/ReductionNorm4.c LocalReduce/ReductionNormInf.c \ LocalReduce/ReductionSum.c LocalReduce/Startup.c \ LocalReduce/SumFunctions.c \ LocalReduce/cctk_Bindings/cctk_ThornBindings.c ML_BSSN/Boundaries.cc \ ML_BSSN/ML_BSSN_Advect.cc ML_BSSN/ML_BSSN_Dissipation.cc \ ML_BSSN/ML_BSSN_InitGamma.cc ML_BSSN/ML_BSSN_InitRHS.cc \ ML_BSSN/ML_BSSN_Minkowski.cc ML_BSSN/ML_BSSN_RHS.cc \ ML_BSSN/ML_BSSN_RHSStaticBoundary.cc ML_BSSN/ML_BSSN_boundary.cc \ ML_BSSN/ML_BSSN_constraints.cc ML_BSSN/ML_BSSN_convertFromADMBase.cc \ ML_BSSN/ML_BSSN_convertFromADMBaseGamma.cc \ ML_BSSN/ML_BSSN_convertToADMBase.cc \ ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShift.cc \ ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShiftBoundary.cc \ ML_BSSN/ML_BSSN_convertToADMBaseFakeDtLapseShift.cc \ ML_BSSN/ML_BSSN_enforce.cc ML_BSSN/RegisterMoL.cc \ ML_BSSN/RegisterSymmetries.cc ML_BSSN/Startup.cc \ ML_BSSN/cctk_Bindings/cctk_ThornBindings.c \ ML_BSSN_Helper/ExtrapolateGammas.c ML_BSSN_Helper/NewRad.c \ ML_BSSN_Helper/RegisterConstrained.c ML_BSSN_Helper/RegisterSlicing.c \ ML_BSSN_Helper/SelectBCsADMBase.c ML_BSSN_Helper/SetGroupTags.c \ ML_BSSN_Helper/cctk_Bindings/cctk_ThornBindings.c MoL/AB.c \ MoL/ChangeType.c MoL/Counter.c MoL/Euler.c MoL/GenericRK.c MoL/ICN.c \ MoL/IndexArrays.c MoL/InitialCopy.c MoL/Operators.c MoL/ParamCheck.c \ MoL/RHSNaNCheck.c MoL/RK2-MR-2_1.c MoL/RK2.c MoL/RK3.c MoL/RK4-MR-2_1.c \ MoL/RK4-RK2.c MoL/RK4.c MoL/RK45.c MoL/RK65.c MoL/RK87.c \ MoL/RKCoefficients.c MoL/Registration.c MoL/SandR.c MoL/SetTime.c \ MoL/Startup.c MoL/StepSize.c MoL/cctk_Bindings/cctk_ThornBindings.c \ PUGH/Comm.c PUGH/Evolve.c PUGH/FinishReceiveGA.c PUGH/GHExtension.c \ PUGH/LoadAware.c PUGH/Overloadables.c PUGH/P2LMappings.c \ PUGH/PostReceiveGA.c PUGH/PostSendGA.c PUGH/PughUtils.c \ PUGH/Registration.c PUGH/SetupGroup.c PUGH/SetupPGH.c PUGH/SetupPGV.c \ PUGH/Startup.c PUGH/Storage.c PUGH/Topology.c PUGH/Vectors.cc \ PUGH/cctk_Bindings/cctk_ThornBindings.c PUGHInterp/InterpGridArrays.c \ PUGHInterp/Startup.c PUGHInterp/cctk_Bindings/cctk_ThornBindings.c \ PUGHReduce/ReduceArraysGlobally.c PUGHReduce/ReduceGA.c \ PUGHReduce/Reduction.c PUGHReduce/ReductionAvg.c \ PUGHReduce/ReductionCount.c PUGHReduce/ReductionMax.c \ PUGHReduce/ReductionMin.c PUGHReduce/ReductionNorm1.c \ PUGHReduce/ReductionNorm2.c PUGHReduce/ReductionNorm3.c \ PUGHReduce/ReductionNorm4.c PUGHReduce/ReductionNormInf.c \ PUGHReduce/ReductionSum.c PUGHReduce/Startup.c \ PUGHReduce/cctk_Bindings/cctk_ThornBindings.c \ PUGHSlab/DatatypeConversion.c PUGHSlab/GetHyperslab.c PUGHSlab/Mapping.c \ PUGHSlab/cctk_Bindings/cctk_ThornBindings.c StaticConformal/ConfPhys.c \ StaticConformal/Initialise.c \ StaticConformal/cctk_Bindings/cctk_ThornBindings.c SymBase/Check.c \ SymBase/Faces.c SymBase/Handles.c SymBase/Interpolation.c \ SymBase/Startup.c SymBase/Statistics.c SymBase/Table.c \ SymBase/cctk_Bindings/cctk_ThornBindings.c Time/Courant.c Time/Given.c \ Time/Initialise.c Time/Simple.c Time/cctk_Bindings/cctk_ThornBindings.c \ TmunuBase/CopyTmunu.f90 TmunuBase/SetStressEnergyState.f90 \ TmunuBase/SetTmunu.f90 TmunuBase/ZeroTmunu.f90 \ TmunuBase/cctk_Bindings/cctk_ThornBindings.c datestamp.c EXEBASE=cactuBSSN_s NEED_MATH=yes BENCHLANG=CXX C F BENCH_CFLAGS = -Iinclude -DCCODE BENCH_CXXFLAGS = -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 BENCH_FFLAGS = -Iinclude -DFCODE CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 action = build allow_label_override = 0 backup_config = 1 baseexe = cactuBSSN_s basepeak = 0 benchdir = benchspec benchmark = 607.cactuBSSN_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = cactuBSSN_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = 1 force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = cactuBSSN_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 607 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/507.cactuBSSN_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = gxx.xl gxy.xl spec_train.out Compile for '607.cactuBSSN_s' started at: 2021-07-08 15:16:41 (1625771801) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:16:41 (1625771801.30938) rm -rf *.o gxx.xl gxy.xl spec_train.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf cactuBSSN_s rm -rf cactuBSSN_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:16:41 (1625771801.47385) Elapsed time for make.clean command: 00:00:00 (0.164470911026001) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:16:41 (1625771801.47723) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gnu/getopt.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gnu/getopt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gnu/getopt1.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gnu/getopt1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gnu/regex.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gnu/regex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMBase/InitSymBound.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMBase/InitSymBound.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMBase/Initialisation.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMBase/Initialisation.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMBase/ParamCheck.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMBase/ParamCheck.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMBase/Static.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMBase/Static.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMBase/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMBase/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMCoupling/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMCoupling/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMMacros/SetLocalSpatialOrder.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMMacros/SetLocalSpatialOrder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ADMMacros/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ADMMacros/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/Boundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/Boundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/Check.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/Check.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/CopyBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/CopyBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/FlatBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/FlatBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/NoneBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/NoneBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/RadiationBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/RadiationBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/Register.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/Register.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/RobinBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/RobinBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/ScalarBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/ScalarBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/StaticBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/StaticBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Boundary/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Boundary/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/IO/FortranBindingsIO.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/IO/FortranBindingsIO.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/IO/IOMethods.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/IO/IOMethods.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/IO/OverloadIO.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/IO/OverloadIO.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/comm/CactusDefaultComm.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/comm/CactusDefaultComm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/comm/CactusSync.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/comm/CactusSync.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/comm/Interp.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/comm/Interp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/comm/OverloadComm.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/comm/OverloadComm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/comm/Reduction.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/comm/Reduction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ActiveThorns.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ActiveThorns.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Banner.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Banner.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/CactusDefaultEvolve.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/CactusDefaultEvolve.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/CactusDefaultInitialise.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/CactusDefaultInitialise.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/CactusDefaultMainLoopIndex.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/CactusDefaultMainLoopIndex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/CactusDefaultShutdown.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/CactusDefaultShutdown.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/CallStartupFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/CallStartupFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/CommandLine.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/CommandLine.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Complex.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Complex.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ConfigData.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ConfigData.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Coord.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Coord.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/DebugDefines.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/DebugDefines.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/DefaultTimers.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/DefaultTimers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Dummies.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Dummies.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/FortranWrappers.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/FortranWrappers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/GHExtensions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/GHExtensions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Groups.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Groups.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/GroupsOnGH.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/GroupsOnGH.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/InitialiseCactus.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/InitialiseCactus.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/InitialiseDataStructures.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/InitialiseDataStructures.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/MainUtils.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/MainUtils.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Names.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Names.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/OverloadMain.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/OverloadMain.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ProcessCommandLine.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ProcessCommandLine.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ProcessEnvironment.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ProcessEnvironment.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ProcessParameterDatabase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ProcessParameterDatabase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ScheduleInterface.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ScheduleInterface.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/SetParams.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/SetParams.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/SetupCache.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/SetupCache.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/ShutdownCactus.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/ShutdownCactus.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Subsystems.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Subsystems.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Termination.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Termination.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/Traverse.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/Traverse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/main/WarnLevel.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/WarnLevel.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/main/flesh.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/main/flesh.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/AutoGrammar.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/AutoGrammar.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Boundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Boundary.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Bracket.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Bracket.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Call.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Call.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Dot.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Dot.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/End.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/End.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Grammar.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Grammar.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Group.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Group.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/ILiteral.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/ILiteral.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Literal.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Literal.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Lookup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Lookup.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Matcher.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Matcher.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Multi.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Multi.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/NegLookAhead.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/NegLookAhead.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Or.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Or.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/ReParse.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/ReParse.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Seq.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Seq.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/Start.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/Start.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o Cactus/piraha/smart_ptr.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/piraha/smart_ptr.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/schedule/ScheduleCreater.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/schedule/ScheduleCreater.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/schedule/ScheduleSorter.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/schedule/ScheduleSorter.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/schedule/ScheduleTraverse.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/schedule/ScheduleTraverse.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Cache.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Cache.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/CactusTimers.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/CactusTimers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Expression.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Expression.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/File.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/File.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Hash.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Hash.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Malloc.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Malloc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Math.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Math.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Misc.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Misc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Network.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Network.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/ParseFile.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/ParseFile.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/RegisterKeyedFunction.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/RegisterKeyedFunction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/SKBinTree.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/SKBinTree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/StoreHandledData.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/StoreHandledData.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/StoreKeyedData.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/StoreKeyedData.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/StoreNamedData.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/StoreNamedData.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/String.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/String.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/StringList.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/StringList.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Table.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Table.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/Time.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/Time.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Cactus/util/snprintf.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Cactus/util/snprintf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/ADMBase_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/ADMBase_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/AliasedFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/AliasedFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/Boundary_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/Boundary_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/CartGrid3D_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/CartGrid3D_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/CoordBase_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/CoordBase_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/GaugeWave_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/GaugeWave_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/GenericFD_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/GenericFD_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/IOASCII_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/IOASCII_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/IOBasic_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/IOBasic_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/IOUtil_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/IOUtil_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/IsFunctionAliased.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/IsFunctionAliased.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/ML_BSSN_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/ML_BSSN_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/ML_BSSN_Helper_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/ML_BSSN_Helper_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/MoL_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/MoL_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/PUGHInterp_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/PUGHInterp_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/PUGHSlab_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/PUGHSlab_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/RegisterThornFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/RegisterThornFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/StaticConformal_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/StaticConformal_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Functions/SymBase_Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Functions/SymBase_Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Implementations/ImplementationBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Implementations/ImplementationBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/ADMBase_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/ADMBase_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/ADMCoupling_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/ADMCoupling_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/ADMMacros_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/ADMMacros_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/BindingsParameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/BindingsParameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/Boundary_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/Boundary_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/Cactus_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/Cactus_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/CartGrid3D_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/CartGrid3D_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/CoordBase_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/CoordBase_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/CoordGauge_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/CoordGauge_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/Fortran_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/Fortran_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/GaugeWave_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/GaugeWave_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/GenericFD_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/GenericFD_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/Global.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/Global.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/IOASCII_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/IOASCII_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/IOBasic_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/IOBasic_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/IOUtil_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/IOUtil_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/LocalReduce_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/LocalReduce_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/ML_BSSN_Helper_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/ML_BSSN_Helper_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/ML_BSSN_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/ML_BSSN_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/MoL_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/MoL_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/PUGHInterp_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/PUGHInterp_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/PUGHReduce_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/PUGHReduce_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/PUGHSlab_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/PUGHSlab_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/PUGH_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/PUGH_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/StaticConformal_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/StaticConformal_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/SymBase_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/SymBase_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/Time_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/Time_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Parameters/TmunuBase_Parameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Parameters/TmunuBase_Parameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/BindingsParameterRecovery.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/BindingsParameterRecovery.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/BindingsSchedule.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/BindingsSchedule.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleADMBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleADMBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleADMCoupling.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleADMCoupling.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleADMMacros.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleADMMacros.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleBoundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleCactus.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleCactus.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleCartGrid3D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleCartGrid3D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleCoordBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleCoordBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleCoordGauge.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleCoordGauge.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleFortran.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleFortran.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleGaugeWave.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleGaugeWave.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleGenericFD.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleGenericFD.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleIOASCII.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleIOASCII.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleIOBasic.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleIOBasic.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleIOUtil.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleIOUtil.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleLocalReduce.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleLocalReduce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleML_BSSN.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleML_BSSN.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleML_BSSN_Helper.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleML_BSSN_Helper.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleMoL.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleMoL.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/SchedulePUGH.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/SchedulePUGH.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/SchedulePUGHInterp.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/SchedulePUGHInterp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/SchedulePUGHReduce.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/SchedulePUGHReduce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/SchedulePUGHSlab.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/SchedulePUGHSlab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleStaticConformal.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleStaticConformal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleSymBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleSymBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleTime.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleTime.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Schedule/ScheduleTmunuBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Schedule/ScheduleTmunuBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/ADMBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/ADMBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/ADMCoupling.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/ADMCoupling.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/ADMMacros.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/ADMMacros.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/BindingsVariables.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/BindingsVariables.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/Boundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/Boundary.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/Cactus.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/Cactus.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/CartGrid3D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/CartGrid3D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/CoordBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/CoordBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/CoordGauge.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/CoordGauge.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/Fortran.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/Fortran.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/GaugeWave.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/GaugeWave.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/GenericFD.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/GenericFD.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/IOASCII.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/IOASCII.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/IOBasic.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/IOBasic.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/IOUtil.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/IOUtil.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/LocalReduce.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/LocalReduce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/ML_BSSN.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/ML_BSSN.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/ML_BSSN_Helper.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/ML_BSSN_Helper.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/MoL.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/MoL.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/PUGH.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/PUGH.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/PUGHInterp.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/PUGHInterp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/PUGHReduce.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/PUGHReduce.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/PUGHSlab.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/PUGHSlab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/StaticConformal.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/StaticConformal.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/SymBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/SymBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/Time.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/Time.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CactusBindings/Variables/TmunuBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CactusBindings/Variables/TmunuBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/CartGrid3D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/CartGrid3D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/DecodeSymParameters.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/DecodeSymParameters.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/GetSymmetry.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/GetSymmetry.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/ParamCheck.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/ParamCheck.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/RegisterSymmetries.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/RegisterSymmetries.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/SetSymmetry.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/SetSymmetry.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/Symmetry.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/Symmetry.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CartGrid3D/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CartGrid3D/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CoordBase/CoordBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CoordBase/CoordBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CoordBase/Domain.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CoordBase/Domain.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CoordBase/GHExtension.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CoordBase/GHExtension.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CoordBase/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CoordBase/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CoordGauge/Slicing.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CoordGauge/Slicing.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o CoordGauge/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 CoordGauge/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_ActiveThorns.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_ActiveThorns.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Banner.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Banner.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Cache.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Cache.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Comm.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Comm.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_CommandLine.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_CommandLine.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Complex.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Complex.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Constants.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Constants.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Coord.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Coord.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_DebugDefines.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_DebugDefines.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Faces.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Faces.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_File.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_File.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Flesh.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Flesh.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_FortranString1.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_FortranString1.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_FortranWrappers.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_FortranWrappers.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_GHExtensions.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_GHExtensions.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_GNU.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_GNU.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Groups.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Groups.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_GroupsOnGH.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_GroupsOnGH.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_IO.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_IO.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_IOMethods.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_IOMethods.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Interp.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Interp.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Main.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Main.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Malloc1.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Malloc1.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Math.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Math.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_MemAlloc.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_MemAlloc.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Misc.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Misc.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_ParamCheck.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_ParamCheck.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Parameter.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Parameter.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Reduction.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Reduction.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Schedule.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Schedule.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Sync.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Sync.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Termination.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Termination.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Timers.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Timers.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Types.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Types.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_Version.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_Version.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk_WarnLevel.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk_WarnLevel.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/util_Table.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/util_Table.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/cctk.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/cctk.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Fortran/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Fortran/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Fortran/paramcheck.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Fortran/paramcheck.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/Boundaries.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/Boundaries.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/GaugeWave_always.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/GaugeWave_always.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/GaugeWave_initial.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/GaugeWave_initial.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/ParamCheck.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/ParamCheck.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/RegisterMoL.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/RegisterMoL.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/RegisterSymmetries.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/RegisterSymmetries.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o GaugeWave/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/Startup.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GaugeWave/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GaugeWave/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GenericFD/GenericFD.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GenericFD/GenericFD.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GenericFD/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GenericFD/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/ChooseOutput.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/ChooseOutput.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Output1D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Output1D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Output2D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Output2D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Output3D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Output3D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Write1D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Write1D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Write2D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Write2D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/Write3D.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/Write3D.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOASCII/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOASCII/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOBasic/OutputInfo.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOBasic/OutputInfo.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOBasic/OutputScalar.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOBasic/OutputScalar.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOBasic/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOBasic/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOBasic/WriteInfo.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOBasic/WriteInfo.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOBasic/WriteScalar.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOBasic/WriteScalar.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOBasic/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOBasic/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOUtil/AdvertisedFiles.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOUtil/AdvertisedFiles.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOUtil/CheckpointRecovery.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOUtil/CheckpointRecovery.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOUtil/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOUtil/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOUtil/Utils.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOUtil/Utils.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o IOUtil/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 IOUtil/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/AvgFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/AvgFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/CountFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/CountFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/MaxFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/MaxFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/MinFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/MinFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/Norm1Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/Norm1Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/Norm2Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/Norm2Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/Norm3Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/Norm3Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/Norm4Functions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/Norm4Functions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/NormInfFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/NormInfFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/Reduction.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/Reduction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionAvg.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionAvg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionCount.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionCount.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionMax.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionMax.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionMin.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionMin.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionNorm1.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionNorm1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionNorm2.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionNorm2.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionNorm3.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionNorm3.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionNorm4.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionNorm4.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionNormInf.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionNormInf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/ReductionSum.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/ReductionSum.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/SumFunctions.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/SumFunctions.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o LocalReduce/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 LocalReduce/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/Boundaries.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/Boundaries.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_Advect.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_Advect.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_Dissipation.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_Dissipation.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_InitGamma.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_InitGamma.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_InitRHS.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_InitRHS.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_Minkowski.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_Minkowski.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_RHS.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_RHS.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_RHSStaticBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_RHSStaticBoundary.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_boundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_boundary.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_constraints.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_constraints.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_convertFromADMBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_convertFromADMBase.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_convertFromADMBaseGamma.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_convertFromADMBaseGamma.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_convertToADMBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_convertToADMBase.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShift.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShift.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShiftBoundary.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShiftBoundary.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_convertToADMBaseFakeDtLapseShift.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_convertToADMBaseFakeDtLapseShift.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/ML_BSSN_enforce.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/ML_BSSN_enforce.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/RegisterMoL.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/RegisterMoL.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/RegisterSymmetries.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/RegisterSymmetries.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o ML_BSSN/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/Startup.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/ExtrapolateGammas.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/ExtrapolateGammas.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/NewRad.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/NewRad.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/RegisterConstrained.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/RegisterConstrained.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/RegisterSlicing.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/RegisterSlicing.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/SelectBCsADMBase.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/SelectBCsADMBase.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/SetGroupTags.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/SetGroupTags.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ML_BSSN_Helper/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ML_BSSN_Helper/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/AB.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/AB.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/ChangeType.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/ChangeType.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/Counter.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/Counter.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/Euler.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/Euler.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/GenericRK.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/GenericRK.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/ICN.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/ICN.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/IndexArrays.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/IndexArrays.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/InitialCopy.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/InitialCopy.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/Operators.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/Operators.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/ParamCheck.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/ParamCheck.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RHSNaNCheck.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RHSNaNCheck.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK2-MR-2_1.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK2-MR-2_1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK2.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK2.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK3.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK3.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK4-MR-2_1.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK4-MR-2_1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK4-RK2.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK4-RK2.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK4.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK4.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK45.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK45.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK65.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK65.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RK87.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RK87.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/RKCoefficients.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/RKCoefficients.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/Registration.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/Registration.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/SandR.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/SandR.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/SetTime.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/SetTime.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/StepSize.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/StepSize.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o MoL/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 MoL/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Comm.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Comm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Evolve.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Evolve.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/FinishReceiveGA.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/FinishReceiveGA.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/GHExtension.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/GHExtension.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/LoadAware.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/LoadAware.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Overloadables.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Overloadables.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/P2LMappings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/P2LMappings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/PostReceiveGA.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/PostReceiveGA.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/PostSendGA.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/PostSendGA.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/PughUtils.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/PughUtils.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Registration.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Registration.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/SetupGroup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/SetupGroup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/SetupPGH.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/SetupPGH.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/SetupPGV.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/SetupPGV.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Storage.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Storage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/Topology.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Topology.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o PUGH/Vectors.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/Vectors.cc /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGH/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGH/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHInterp/InterpGridArrays.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHInterp/InterpGridArrays.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHInterp/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHInterp/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHInterp/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHInterp/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReduceArraysGlobally.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReduceArraysGlobally.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReduceGA.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReduceGA.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/Reduction.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/Reduction.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionAvg.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionAvg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionCount.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionCount.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionMax.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionMax.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionMin.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionMin.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionNorm1.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionNorm1.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionNorm2.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionNorm2.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionNorm3.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionNorm3.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionNorm4.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionNorm4.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionNormInf.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionNormInf.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/ReductionSum.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/ReductionSum.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHReduce/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHReduce/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHSlab/DatatypeConversion.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHSlab/DatatypeConversion.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHSlab/GetHyperslab.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHSlab/GetHyperslab.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHSlab/Mapping.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHSlab/Mapping.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o PUGHSlab/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 PUGHSlab/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o StaticConformal/ConfPhys.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StaticConformal/ConfPhys.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o StaticConformal/Initialise.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StaticConformal/Initialise.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o StaticConformal/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 StaticConformal/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Check.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Check.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Faces.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Faces.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Handles.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Handles.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Interpolation.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Interpolation.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Startup.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Startup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Statistics.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Statistics.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/Table.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/Table.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o SymBase/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 SymBase/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Time/Courant.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Time/Courant.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Time/Given.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Time/Given.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Time/Initialise.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Time/Initialise.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Time/Simple.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Time/Simple.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o Time/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 Time/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o TmunuBase/CopyTmunu.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp TmunuBase/CopyTmunu.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o TmunuBase/SetStressEnergyState.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp TmunuBase/SetStressEnergyState.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o TmunuBase/SetTmunu.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp TmunuBase/SetTmunu.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o TmunuBase/ZeroTmunu.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp TmunuBase/ZeroTmunu.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o TmunuBase/cctk_Bindings/cctk_ThornBindings.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 TmunuBase/cctk_Bindings/cctk_ThornBindings.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o datestamp.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 datestamp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP gnu/getopt.o gnu/getopt1.o gnu/regex.o ADMBase/InitSymBound.o ADMBase/Initialisation.o ADMBase/ParamCheck.o ADMBase/Static.o ADMBase/cctk_Bindings/cctk_ThornBindings.o ADMCoupling/cctk_Bindings/cctk_ThornBindings.o ADMMacros/SetLocalSpatialOrder.o ADMMacros/cctk_Bindings/cctk_ThornBindings.o Boundary/Boundary.o Boundary/Check.o Boundary/CopyBoundary.o Boundary/FlatBoundary.o Boundary/NoneBoundary.o Boundary/RadiationBoundary.o Boundary/Register.o Boundary/RobinBoundary.o Boundary/ScalarBoundary.o Boundary/StaticBoundary.o Boundary/cctk_Bindings/cctk_ThornBindings.o Cactus/IO/FortranBindingsIO.o Cactus/IO/IOMethods.o Cactus/IO/OverloadIO.o Cactus/cctk_Bindings/cctk_ThornBindings.o Cactus/comm/CactusDefaultComm.o Cactus/comm/CactusSync.o Cactus/comm/Interp.o Cactus/comm/OverloadComm.o Cactus/comm/Reduction.o Cactus/main/ActiveThorns.o Cactus/main/Ban ner.o Cactus/main/CactusDefaultEvolve.o Cactus/main/CactusDefaultInitialise.o Cactus/main/CactusDefaultMainLoopIndex.o Cactus/main/CactusDefaultShutdown.o Cactus/main/CallStartupFunctions.o Cactus/main/CommandLine.o Cactus/main/Complex.o Cactus/main/ConfigData.o Cactus/main/Coord.o Cactus/main/DebugDefines.o Cactus/main/DefaultTimers.o Cactus/main/Dummies.o Cactus/main/FortranWrappers.o Cactus/main/GHExtensions.o Cactus/main/Groups.o Cactus/main/GroupsOnGH.o Cactus/main/InitialiseCactus.o Cactus/main/InitialiseDataStructures.o Cactus/main/MainUtils.o Cactus/main/Names.o Cactus/main/OverloadMain.o Cactus/main/Parameters.o Cactus/main/ProcessCommandLine.o Cactus/main/ProcessEnvironment.o Cactus/main/ProcessParameterDatabase.o Cactus/main/ScheduleInterface.o Cactus/main/SetParams.o Cactus/main/SetupCache.o Cactus/main/ShutdownCactus.o Cactus/main/Subsystems.o Cactus/main/Termination.o Cactus/main/Traverse.o Cactus/main/WarnLevel.o Cactus/main/flesh.o Cactus/piraha/AutoGrammar.o Cactus/piraha/Boundary.o Cactu s/piraha/Bracket.o Cactus/piraha/Call.o Cactus/piraha/Dot.o Cactus/piraha/End.o Cactus/piraha/Grammar.o Cactus/piraha/Group.o Cactus/piraha/ILiteral.o Cactus/piraha/Literal.o Cactus/piraha/Lookup.o Cactus/piraha/Matcher.o Cactus/piraha/Multi.o Cactus/piraha/NegLookAhead.o Cactus/piraha/Or.o Cactus/piraha/ReParse.o Cactus/piraha/Seq.o Cactus/piraha/Start.o Cactus/piraha/smart_ptr.o Cactus/schedule/ScheduleCreater.o Cactus/schedule/ScheduleSorter.o Cactus/schedule/ScheduleTraverse.o Cactus/util/Cache.o Cactus/util/CactusTimers.o Cactus/util/Expression.o Cactus/util/File.o Cactus/util/Hash.o Cactus/util/Malloc.o Cactus/util/Math.o Cactus/util/Misc.o Cactus/util/Network.o Cactus/util/ParseFile.o Cactus/util/RegisterKeyedFunction.o Cactus/util/SKBinTree.o Cactus/util/StoreHandledData.o Cactus/util/StoreKeyedData.o Cactus/util/StoreNamedData.o Cactus/util/String.o Cactus/util/StringList.o Cactus/util/Table.o Cactus/util/Time.o Cactus/util/snprintf.o CactusBindings/Functions/ADMBase_Functions.o CactusBindings/Fu nctions/AliasedFunctions.o CactusBindings/Functions/Boundary_Functions.o CactusBindings/Functions/CartGrid3D_Functions.o CactusBindings/Functions/CoordBase_Functions.o CactusBindings/Functions/GaugeWave_Functions.o CactusBindings/Functions/GenericFD_Functions.o CactusBindings/Functions/IOASCII_Functions.o CactusBindings/Functions/IOBasic_Functions.o CactusBindings/Functions/IOUtil_Functions.o CactusBindings/Functions/IsFunctionAliased.o CactusBindings/Functions/ML_BSSN_Functions.o CactusBindings/Functions/ML_BSSN_Helper_Functions.o CactusBindings/Functions/MoL_Functions.o CactusBindings/Functions/PUGHInterp_Functions.o CactusBindings/Functions/PUGHSlab_Functions.o CactusBindings/Functions/RegisterThornFunctions.o CactusBindings/Functions/StaticConformal_Functions.o CactusBindings/Functions/SymBase_Functions.o CactusBindings/Implementations/ImplementationBindings.o CactusBindings/Parameters/ADMBase_Parameters.o CactusBindings/Parameters/ADMCoupling_Parameters.o CactusBindings/Parameters/ADMMacros_Parameter s.o CactusBindings/Parameters/BindingsParameters.o CactusBindings/Parameters/Boundary_Parameters.o CactusBindings/Parameters/Cactus_Parameters.o CactusBindings/Parameters/CartGrid3D_Parameters.o CactusBindings/Parameters/CoordBase_Parameters.o CactusBindings/Parameters/CoordGauge_Parameters.o CactusBindings/Parameters/Fortran_Parameters.o CactusBindings/Parameters/GaugeWave_Parameters.o CactusBindings/Parameters/GenericFD_Parameters.o CactusBindings/Parameters/Global.o CactusBindings/Parameters/IOASCII_Parameters.o CactusBindings/Parameters/IOBasic_Parameters.o CactusBindings/Parameters/IOUtil_Parameters.o CactusBindings/Parameters/LocalReduce_Parameters.o CactusBindings/Parameters/ML_BSSN_Helper_Parameters.o CactusBindings/Parameters/ML_BSSN_Parameters.o CactusBindings/Parameters/MoL_Parameters.o CactusBindings/Parameters/PUGHInterp_Parameters.o CactusBindings/Parameters/PUGHReduce_Parameters.o CactusBindings/Parameters/PUGHSlab_Parameters.o CactusBindings/Parameters/PUGH_Parameters.o CactusBindings/Para meters/StaticConformal_Parameters.o CactusBindings/Parameters/SymBase_Parameters.o CactusBindings/Parameters/Time_Parameters.o CactusBindings/Parameters/TmunuBase_Parameters.o CactusBindings/Schedule/BindingsParameterRecovery.o CactusBindings/Schedule/BindingsSchedule.o CactusBindings/Schedule/ScheduleADMBase.o CactusBindings/Schedule/ScheduleADMCoupling.o CactusBindings/Schedule/ScheduleADMMacros.o CactusBindings/Schedule/ScheduleBoundary.o CactusBindings/Schedule/ScheduleCactus.o CactusBindings/Schedule/ScheduleCartGrid3D.o CactusBindings/Schedule/ScheduleCoordBase.o CactusBindings/Schedule/ScheduleCoordGauge.o CactusBindings/Schedule/ScheduleFortran.o CactusBindings/Schedule/ScheduleGaugeWave.o CactusBindings/Schedule/ScheduleGenericFD.o CactusBindings/Schedule/ScheduleIOASCII.o CactusBindings/Schedule/ScheduleIOBasic.o CactusBindings/Schedule/ScheduleIOUtil.o CactusBindings/Schedule/ScheduleLocalReduce.o CactusBindings/Schedule/ScheduleML_BSSN.o CactusBindings/Schedule/ScheduleML_BSSN_Helper.o CactusB indings/Schedule/ScheduleMoL.o CactusBindings/Schedule/SchedulePUGH.o CactusBindings/Schedule/SchedulePUGHInterp.o CactusBindings/Schedule/SchedulePUGHReduce.o CactusBindings/Schedule/SchedulePUGHSlab.o CactusBindings/Schedule/ScheduleStaticConformal.o CactusBindings/Schedule/ScheduleSymBase.o CactusBindings/Schedule/ScheduleTime.o CactusBindings/Schedule/ScheduleTmunuBase.o CactusBindings/Variables/ADMBase.o CactusBindings/Variables/ADMCoupling.o CactusBindings/Variables/ADMMacros.o CactusBindings/Variables/BindingsVariables.o CactusBindings/Variables/Boundary.o CactusBindings/Variables/Cactus.o CactusBindings/Variables/CartGrid3D.o CactusBindings/Variables/CoordBase.o CactusBindings/Variables/CoordGauge.o CactusBindings/Variables/Fortran.o CactusBindings/Variables/GaugeWave.o CactusBindings/Variables/GenericFD.o CactusBindings/Variables/IOASCII.o CactusBindings/Variables/IOBasic.o CactusBindings/Variables/IOUtil.o CactusBindings/Variables/LocalReduce.o CactusBindings/Variables/ML_BSSN.o CactusBindings/V ariables/ML_BSSN_Helper.o CactusBindings/Variables/MoL.o CactusBindings/Variables/PUGH.o CactusBindings/Variables/PUGHInterp.o CactusBindings/Variables/PUGHReduce.o CactusBindings/Variables/PUGHSlab.o CactusBindings/Variables/StaticConformal.o CactusBindings/Variables/SymBase.o CactusBindings/Variables/Time.o CactusBindings/Variables/TmunuBase.o CartGrid3D/CartGrid3D.o CartGrid3D/DecodeSymParameters.o CartGrid3D/GetSymmetry.o CartGrid3D/ParamCheck.o CartGrid3D/RegisterSymmetries.o CartGrid3D/SetSymmetry.o CartGrid3D/Startup.o CartGrid3D/Symmetry.o CartGrid3D/cctk_Bindings/cctk_ThornBindings.o CoordBase/CoordBase.o CoordBase/Domain.o CoordBase/GHExtension.o CoordBase/cctk_Bindings/cctk_ThornBindings.o CoordGauge/Slicing.o CoordGauge/cctk_Bindings/cctk_ThornBindings.o Fortran/cctk.o Fortran/cctk_ActiveThorns.o Fortran/cctk_Banner.o Fortran/cctk_Bindings/cctk_ThornBindings.o Fortran/cctk_Cache.o Fortran/cctk_Comm.o Fortran/cctk_CommandLine.o Fortran/cctk_Complex.o Fortran/cctk_Constants.o Fortran/cctk_Coord. o Fortran/cctk_DebugDefines.o Fortran/cctk_Faces.o Fortran/cctk_File.o Fortran/cctk_Flesh.o Fortran/cctk_FortranString1.o Fortran/cctk_FortranWrappers.o Fortran/cctk_GHExtensions.o Fortran/cctk_GNU.o Fortran/cctk_Groups.o Fortran/cctk_GroupsOnGH.o Fortran/cctk_IO.o Fortran/cctk_IOMethods.o Fortran/cctk_Interp.o Fortran/cctk_Main.o Fortran/cctk_Malloc1.o Fortran/cctk_Math.o Fortran/cctk_MemAlloc.o Fortran/cctk_Misc.o Fortran/cctk_ParamCheck.o Fortran/cctk_Parameter.o Fortran/cctk_Reduction.o Fortran/cctk_Schedule.o Fortran/cctk_Sync.o Fortran/cctk_Termination.o Fortran/cctk_Timers.o Fortran/cctk_Types.o Fortran/cctk_Version.o Fortran/cctk_WarnLevel.o Fortran/paramcheck.o Fortran/util_Table.o GaugeWave/Boundaries.o GaugeWave/GaugeWave_always.o GaugeWave/GaugeWave_initial.o GaugeWave/ParamCheck.o GaugeWave/RegisterMoL.o GaugeWave/RegisterSymmetries.o GaugeWave/Startup.o GaugeWave/cctk_Bindings/cctk_ThornBindings.o GenericFD/GenericFD.o GenericFD/cctk_Bindings/cctk_ThornBindings.o IOASCII/ChooseOutput.o IOASC II/Output1D.o IOASCII/Output2D.o IOASCII/Output3D.o IOASCII/Startup.o IOASCII/Write1D.o IOASCII/Write2D.o IOASCII/Write3D.o IOASCII/cctk_Bindings/cctk_ThornBindings.o IOBasic/OutputInfo.o IOBasic/OutputScalar.o IOBasic/Startup.o IOBasic/WriteInfo.o IOBasic/WriteScalar.o IOBasic/cctk_Bindings/cctk_ThornBindings.o IOUtil/AdvertisedFiles.o IOUtil/CheckpointRecovery.o IOUtil/Startup.o IOUtil/Utils.o IOUtil/cctk_Bindings/cctk_ThornBindings.o LocalReduce/AvgFunctions.o LocalReduce/CountFunctions.o LocalReduce/MaxFunctions.o LocalReduce/MinFunctions.o LocalReduce/Norm1Functions.o LocalReduce/Norm2Functions.o LocalReduce/Norm3Functions.o LocalReduce/Norm4Functions.o LocalReduce/NormInfFunctions.o LocalReduce/Reduction.o LocalReduce/ReductionAvg.o LocalReduce/ReductionCount.o LocalReduce/ReductionMax.o LocalReduce/ReductionMin.o LocalReduce/ReductionNorm1.o LocalReduce/ReductionNorm2.o LocalReduce/ReductionNorm3.o LocalReduce/ReductionNorm4.o LocalReduce/ReductionNormInf.o LocalReduce/ReductionSum.o LocalReduce/St artup.o LocalReduce/SumFunctions.o LocalReduce/cctk_Bindings/cctk_ThornBindings.o ML_BSSN/Boundaries.o ML_BSSN/ML_BSSN_Advect.o ML_BSSN/ML_BSSN_Dissipation.o ML_BSSN/ML_BSSN_InitGamma.o ML_BSSN/ML_BSSN_InitRHS.o ML_BSSN/ML_BSSN_Minkowski.o ML_BSSN/ML_BSSN_RHS.o ML_BSSN/ML_BSSN_RHSStaticBoundary.o ML_BSSN/ML_BSSN_boundary.o ML_BSSN/ML_BSSN_constraints.o ML_BSSN/ML_BSSN_convertFromADMBase.o ML_BSSN/ML_BSSN_convertFromADMBaseGamma.o ML_BSSN/ML_BSSN_convertToADMBase.o ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShift.o ML_BSSN/ML_BSSN_convertToADMBaseDtLapseShiftBoundary.o ML_BSSN/ML_BSSN_convertToADMBaseFakeDtLapseShift.o ML_BSSN/ML_BSSN_enforce.o ML_BSSN/RegisterMoL.o ML_BSSN/RegisterSymmetries.o ML_BSSN/Startup.o ML_BSSN/cctk_Bindings/cctk_ThornBindings.o ML_BSSN_Helper/ExtrapolateGammas.o ML_BSSN_Helper/NewRad.o ML_BSSN_Helper/RegisterConstrained.o ML_BSSN_Helper/RegisterSlicing.o ML_BSSN_Helper/SelectBCsADMBase.o ML_BSSN_Helper/SetGroupTags.o ML_BSSN_Helper/cctk_Bindings/cctk_ThornBindings.o MoL/AB.o MoL/Chan geType.o MoL/Counter.o MoL/Euler.o MoL/GenericRK.o MoL/ICN.o MoL/IndexArrays.o MoL/InitialCopy.o MoL/Operators.o MoL/ParamCheck.o MoL/RHSNaNCheck.o MoL/RK2-MR-2_1.o MoL/RK2.o MoL/RK3.o MoL/RK4-MR-2_1.o MoL/RK4-RK2.o MoL/RK4.o MoL/RK45.o MoL/RK65.o MoL/RK87.o MoL/RKCoefficients.o MoL/Registration.o MoL/SandR.o MoL/SetTime.o MoL/Startup.o MoL/StepSize.o MoL/cctk_Bindings/cctk_ThornBindings.o PUGH/Comm.o PUGH/Evolve.o PUGH/FinishReceiveGA.o PUGH/GHExtension.o PUGH/LoadAware.o PUGH/Overloadables.o PUGH/P2LMappings.o PUGH/PostReceiveGA.o PUGH/PostSendGA.o PUGH/PughUtils.o PUGH/Registration.o PUGH/SetupGroup.o PUGH/SetupPGH.o PUGH/SetupPGV.o PUGH/Startup.o PUGH/Storage.o PUGH/Topology.o PUGH/Vectors.o PUGH/cctk_Bindings/cctk_ThornBindings.o PUGHInterp/InterpGridArrays.o PUGHInterp/Startup.o PUGHInterp/cctk_Bindings/cctk_ThornBindings.o PUGHReduce/ReduceArraysGlobally.o PUGHReduce/ReduceGA.o PUGHReduce/Reduction.o PUGHReduce/ReductionAvg.o PUGHReduce/ReductionCount.o PUGHReduce/ReductionMax.o PUGHReduce/Reductio nMin.o PUGHReduce/ReductionNorm1.o PUGHReduce/ReductionNorm2.o PUGHReduce/ReductionNorm3.o PUGHReduce/ReductionNorm4.o PUGHReduce/ReductionNormInf.o PUGHReduce/ReductionSum.o PUGHReduce/Startup.o PUGHReduce/cctk_Bindings/cctk_ThornBindings.o PUGHSlab/DatatypeConversion.o PUGHSlab/GetHyperslab.o PUGHSlab/Mapping.o PUGHSlab/cctk_Bindings/cctk_ThornBindings.o StaticConformal/ConfPhys.o StaticConformal/Initialise.o StaticConformal/cctk_Bindings/cctk_ThornBindings.o SymBase/Check.o SymBase/Faces.o SymBase/Handles.o SymBase/Interpolation.o SymBase/Startup.o SymBase/Statistics.o SymBase/Table.o SymBase/cctk_Bindings/cctk_ThornBindings.o Time/Courant.o Time/Given.o Time/Initialise.o Time/Simple.o Time/cctk_Bindings/cctk_ThornBindings.o TmunuBase/CopyTmunu.o TmunuBase/SetStressEnergyState.o TmunuBase/SetTmunu.o TmunuBase/ZeroTmunu.o TmunuBase/cctk_Bindings/cctk_ThornBindings.o datestamp.o -o cactuBSSN_s CactusBindings/Schedule/ScheduleTmunuBase.c:32:12: warning: type of ‘tmunubase_copytmunu_’ does not match original declaration [-Wlto-type-mismatch] 32 | extern int tmunubase_copytmunu_(void); | ^ TmunuBase/CopyTmunu.f90:8:30: note: return value type mismatch 8 | subroutine TmunuBase_CopyTmunu (cctk_dim,cctk_gsh,cctk_lsh,cctk_lbnd,cctk_ubnd,cctk_ash,cctk_from,cctk_to,cctk_bbox,cctk_delta_time& | ^ TmunuBase/CopyTmunu.f90:8:30: note: type ‘void’ should match type ‘int’ TmunuBase/CopyTmunu.f90:8:30: note: ‘tmunubase_copytmunu’ was previously declared here CactusBindings/Schedule/ScheduleTmunuBase.c:31:12: warning: type of ‘tmunubase_zerotmunu_’ does not match original declaration [-Wlto-type-mismatch] 31 | extern int tmunubase_zerotmunu_(void); | ^ TmunuBase/ZeroTmunu.f90:2:30: note: return value type mismatch 2 | subroutine TmunuBase_ZeroTmunu (cctk_dim,cctk_gsh,cctk_lsh,cctk_lbnd,cctk_ubnd,cctk_ash,cctk_from,cctk_to,cctk_bbox,cctk_delta_time& | ^ TmunuBase/ZeroTmunu.f90:2:30: note: type ‘void’ should match type ‘int’ TmunuBase/ZeroTmunu.f90:2:30: note: ‘tmunubase_zerotmunu’ was previously declared here CactusBindings/Schedule/ScheduleTmunuBase.c:30:12: warning: type of ‘tmunubase_settmunu_’ does not match original declaration [-Wlto-type-mismatch] 30 | extern int tmunubase_settmunu_(void); | ^ TmunuBase/SetTmunu.f90:4:29: note: return value type mismatch 4 | subroutine TmunuBase_SetTmunu (cctk_dim,cctk_gsh,cctk_lsh,cctk_lbn& | ^ TmunuBase/SetTmunu.f90:4:29: note: type ‘void’ should match type ‘int’ TmunuBase/SetTmunu.f90:4:29: note: ‘tmunubase_settmunu’ was previously declared here CactusBindings/Schedule/ScheduleTmunuBase.c:29:12: warning: type of ‘tmunubase_setstressenergystate_’ does not match original declaration [-Wlto-type-mismatch] 29 | extern int tmunubase_setstressenergystate_(void); | ^ TmunuBase/SetStressEnergyState.f90:2:41: note: return value type mismatch 2 | subroutine TmunuBase_SetStressEnergyState (cctk_dim,cctk_gsh,cctk_lsh,cctk_lbnd,cctk_ubnd,cctk_ash,cctk_from,cctk_to,cctk_bbox,cctk& | ^ TmunuBase/SetStressEnergyState.f90:2:41: note: type ‘void’ should match type ‘int’ TmunuBase/SetStressEnergyState.f90:2:41: note: ‘tmunubase_setstressenergystate’ was previously declared here CactusBindings/Schedule/ScheduleFortran.c:23:12: warning: type of ‘checkfortranparameters_’ does not match original declaration [-Wlto-type-mismatch] 23 | extern int checkfortranparameters_(void); | ^ Fortran/paramcheck.f90:1:33: note: return value type mismatch 1 | subroutine CheckFortranParameters (cctk_dim,cctk_gsh,cctk_lsh,cctk_lbnd,cctk_ubnd,cctk_ash,cctk_from,cctk_to,cctk_bbox,cctk_delta_t& | ^ Fortran/paramcheck.f90:1:33: note: type ‘void’ should match type ‘int’ Fortran/paramcheck.f90:1:33: note: ‘checkfortranparameters’ was previously declared here TmunuBase/CopyTmunu.f90:328:24: warning: type of ‘tmunubasepriv’ does not match original declaration [-Wlto-type-mismatch] 328 | COMMON /TmunuBasepriv/prolongation_type, stress_energy_storage, timelevels | ^ CactusBindings/Parameters/TmunuBase_Parameters.c:25:3: note: ‘tmunubasepriv_’ was previously declared here 25 | } PRIVATE_TMUNUBASE_STRUCT; | ^ CactusBindings/Parameters/TmunuBase_Parameters.c:25:3: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used include/ParameterCPrivateML_BSSN.h:148:3: warning: type of ‘ml_bssnpriv_’ does not match original declaration [-Wlto-type-mismatch] 148 | } PRIVATE_ML_BSSN_STRUCT; | ^ include/ParameterCPrivateML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:165:3: note: the incompatible type defined in another translation unit 165 | } PRIVATE_ML_BSSN_STRUCT; | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:165:3: note: ‘ml_bssnpriv_’ was previously declared here CactusBindings/Parameters/ML_BSSN_Parameters.c:165:3: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:67:3: warning: type of ‘ml_bssnrest_’ does not match original declaration [-Wlto-type-mismatch] 67 | } RESTRICTED_ML_BSSN_STRUCT; | ^ include/ParameterCRestrictedML_BSSN.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: the incompatible type defined in another translation unit 230 | } RESTRICTED_ML_BSSN_STRUCT; | ^ CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: ‘ml_bssnrest_’ was previously declared here CactusBindings/Parameters/ML_BSSN_Parameters.c:230:3: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used include/ParameterCRestrictedGAUGEWAVE.h:34:3: warning: type of ‘gaugewaverest_’ does not match original declaration [-Wlto-type-mismatch] 34 | } RESTRICTED_GAUGEWAVE_STRUCT; | ^ include/ParameterCRestrictedGAUGEWAVE.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/GaugeWave_Parameters.c:58:3: note: the incompatible type defined in another translation unit 58 | } RESTRICTED_GAUGEWAVE_STRUCT; | ^ include/ParameterCRestrictedGAUGEWAVE.h:34:3: warning: type of ‘gaugewaverest_’ does not match original declaration [-Wlto-type-mismatch] 34 | } RESTRICTED_GAUGEWAVE_STRUCT; | ^ include/ParameterCRestrictedGAUGEWAVE.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/GaugeWave_Parameters.c:58:3: note: the incompatible type defined in another translation unit 58 | } RESTRICTED_GAUGEWAVE_STRUCT; | ^ CactusBindings/Parameters/GaugeWave_Parameters.c:58:3: note: ‘gaugewaverest_’ was previously declared here CactusBindings/Parameters/GaugeWave_Parameters.c:58:3: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used Fortran/paramcheck.f90:98:112: warning: type of ‘cctk_paramwarn’ does not match original declaration [-Wlto-type-mismatch] 98 | call CCTK_ParamWarn("Fortran",("Fortran parameters do not work -- check the C/Fortran language interface")) | ^ Cactus/main/WarnLevel.c:853:17: note: type mismatch in parameter 3 853 | void CCTK_FCALL cctk_paramwarn_ | ^ Cactus/main/WarnLevel.c:853:17: note: type ‘unsigned int’ should match type ‘long int’ Cactus/main/WarnLevel.c:853:17: note: ‘cctk_paramwarn_’ was previously declared here Cactus/main/WarnLevel.c:853:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used TmunuBase/CopyTmunu.f90:331:71: warning: type of ‘cctk_warn’ does not match original declaration [-Wlto-type-mismatch] 331 | call CCTK_Warn(0,21,"CopyTmunu.F90","TmunuBase", "internal error") | ^ Cactus/main/WarnLevel.c:464:17: note: type mismatch in parameter 6 464 | void CCTK_FCALL cctk_warn_ | ^ Cactus/main/WarnLevel.c:464:17: note: type ‘unsigned int’ should match type ‘long int’ Cactus/main/WarnLevel.c:464:17: note: ‘cctk_warn_’ was previously declared here Cactus/main/WarnLevel.c:464:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used TmunuBase/SetTmunu.f90:430:10: warning: type of ‘cctk_isthornactive’ does not match original declaration [-Wlto-type-mismatch] 430 | if (CCTK_IsThornActive("TmunuBase").eq.1) then | ^ Cactus/main/ActiveThorns.c:379:16: note: type mismatch in parameter 2 379 | int CCTK_FCALL cctk_isthornactive_ | ^ Cactus/main/ActiveThorns.c:379:16: note: type ‘unsigned int’ should match type ‘long int’ Cactus/main/ActiveThorns.c:379:16: note: ‘cctk_isthornactive_’ was previously declared here Cactus/main/ActiveThorns.c:379:16: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used include/ParameterCRestrictedADMBASE.h:26:3: warning: type of ‘admbaserest_’ does not match original declaration [-Wlto-type-mismatch] 26 | } RESTRICTED_ADMBASE_STRUCT; | ^ include/ParameterCRestrictedADMBASE.h:7:1: note: type ‘struct ’ defined in anonymous namespace cannot match across the translation unit boundary 7 | { | ^ CactusBindings/Parameters/ADMBase_Parameters.c:47:3: note: the incompatible type defined in another translation unit 47 | } RESTRICTED_ADMBASE_STRUCT; | ^ CactusBindings/Parameters/ADMBase_Parameters.c:47:3: note: ‘admbaserest_’ was previously declared here CactusBindings/Parameters/ADMBase_Parameters.c:47:3: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used lto-wrapper: warning: using serial compilation of 88 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information In function ‘ApplyBndScalar’, inlined from ‘BndScalar’ at Boundary/ScalarBoundary.c:202:19: Boundary/ScalarBoundary.c:1110:5: warning: ‘memcpy’ specified bound 18446744073709551608 exceeds maximum object size 9223372036854775807 [-Wstringop-overflow=] 1110 | memcpy (widths, in_widths, 2* gdim * sizeof (CCTK_INT)); | ^ MoL/Operators.c: In function ‘MoL_LinearCombination’: MoL/Operators.c:184:7: warning: argument to variable-length array is too large [-Wvla-larger-than=] 184 | int ash[dim]; | ^ MoL/Operators.c:184:7: note: limit is 9223372036854775807 bytes, but argument is 18446744073709551612 MoL/Operators.c:184:7: warning: argument to variable-length array is too large [-Wvla-larger-than=] MoL/Operators.c:184:7: note: limit is 9223372036854775807 bytes, but argument is 18446744073709551612 PUGH/SetupPGV.c: In function ‘PUGH_SetupPGExtrasMemory’: PUGH/SetupPGV.c:655:9: warning: ‘free’ called on pointer ‘this_121(D)’ with nonzero offset 104 [-Wfree-nonheap-object] 655 | free(this->ownership); | ^ PUGH/SetupPGV.c:656:9: warning: ‘free’ called on pointer ‘this_121(D)’ with nonzero offset 120 [-Wfree-nonheap-object] 656 | free(this->ghosts); | ^ PUGH/SetupPGV.c:657:9: warning: ‘free’ called on pointer ‘this_121(D)’ with nonzero offset 136 [-Wfree-nonheap-object] 657 | free(this->overlap); | ^ In function ‘ReduceGridArrays’, inlined from ‘PUGH_ReduceGridArrays’ at PUGHReduce/ReduceGA.c:104:12: PUGHReduce/ReduceGA.c:196:37: warning: argument 1 value ‘18446744073709551612’ exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] 196 | lower_array_bounds = (CCTK_INT *)malloc (dim *sizeof(CCTK_INT)); | ^ PUGHReduce/ReduceGA.c: In function ‘PUGH_ReduceGridArrays’: /usr/include/stdlib.h:539:14: note: in a call to allocation function ‘malloc’ declared here 539 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ __wur; | ^ In function ‘ReduceGridArrays’, inlined from ‘PUGH_ReduceGridArrays’ at PUGHReduce/ReduceGA.c:104:12: PUGHReduce/ReduceGA.c:197:37: warning: argument 1 value ‘18446744073709551612’ exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] 197 | min_array_subscript = (CCTK_INT *)malloc (dim *sizeof(CCTK_INT)); | ^ PUGHReduce/ReduceGA.c: In function ‘PUGH_ReduceGridArrays’: /usr/include/stdlib.h:539:14: note: in a call to allocation function ‘malloc’ declared here 539 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ __wur; | ^ In function ‘ReduceGridArrays’, inlined from ‘PUGH_ReduceGridArrays’ at PUGHReduce/ReduceGA.c:104:12: PUGHReduce/ReduceGA.c:198:37: warning: argument 1 value ‘18446744073709551612’ exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] 198 | array_lsh = (CCTK_INT *)malloc (dim *sizeof(CCTK_INT)); | ^ PUGHReduce/ReduceGA.c: In function ‘PUGH_ReduceGridArrays’: /usr/include/stdlib.h:539:14: note: in a call to allocation function ‘malloc’ declared here 539 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ __wur; | ^ In function ‘ReduceGridArrays’, inlined from ‘PUGH_ReduceGridArrays’ at PUGHReduce/ReduceGA.c:104:12: PUGHReduce/ReduceGA.c:199:37: warning: argument 1 value ‘18446744073709551612’ exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] 199 | input_array_dims = (CCTK_INT *)malloc (dim *sizeof(CCTK_INT)); | ^ PUGHReduce/ReduceGA.c: In function ‘PUGH_ReduceGridArrays’: /usr/include/stdlib.h:539:14: note: in a call to allocation function ‘malloc’ declared here 539 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ __wur; | ^ In function ‘ReduceGridArrays’, inlined from ‘PUGH_ReduceGridArrays’ at PUGHReduce/ReduceGA.c:104:12: PUGHReduce/ReduceGA.c:200:37: warning: argument 1 value ‘18446744073709551612’ exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] 200 | input_array_gz = (CCTK_INT *)malloc (dim *sizeof(CCTK_INT)); | ^ PUGHReduce/ReduceGA.c: In function ‘PUGH_ReduceGridArrays’: /usr/include/stdlib.h:539:14: note: in a call to allocation function ‘malloc’ declared here 539 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ __wur; | ^ MoL/Operators.c: In function ‘MoL_LinearCombination.constprop’: MoL/Operators.c:184:7: warning: argument to variable-length array is too large [-Wvla-larger-than=] 184 | int ash[dim]; | ^ MoL/Operators.c:184:7: note: limit is 9223372036854775807 bytes, but argument is 18446744073709551612 MoL/Operators.c:184:7: warning: argument to variable-length array is too large [-Wvla-larger-than=] MoL/Operators.c:184:7: note: limit is 9223372036854775807 bytes, but argument is 18446744073709551612 MoL/Operators.c: In function ‘MoL_LinearCombination.constprop’: MoL/Operators.c:184:7: warning: argument to variable-length array is too large [-Wvla-larger-than=] 184 | int ash[dim]; | ^ MoL/Operators.c:184:7: note: limit is 9223372036854775807 bytes, but argument is 18446744073709551612 MoL/Operators.c:184:7: warning: argument to variable-length array is too large [-Wvla-larger-than=] MoL/Operators.c:184:7: note: limit is 9223372036854775807 bytes, but argument is 18446744073709551612 MoL/Operators.c: In function ‘MoL_LinearCombination.constprop’: MoL/Operators.c:184:7: warning: argument to variable-length array is too large [-Wvla-larger-than=] 184 | int ash[dim]; | ^ MoL/Operators.c:184:7: note: limit is 9223372036854775807 bytes, but argument is 18446744073709551612 MoL/Operators.c:184:7: warning: argument to variable-length array is too large [-Wvla-larger-than=] MoL/Operators.c:184:7: note: limit is 9223372036854775807 bytes, but argument is 18446744073709551612 MoL/Operators.c: In function ‘MoL_LinearCombination.constprop’: MoL/Operators.c:184:7: warning: argument to variable-length array is too large [-Wvla-larger-than=] 184 | int ash[dim]; | ^ MoL/Operators.c:184:7: note: limit is 9223372036854775807 bytes, but argument is 18446744073709551612 MoL/Operators.c:184:7: warning: argument to variable-length array is too large [-Wvla-larger-than=] MoL/Operators.c:184:7: note: limit is 9223372036854775807 bytes, but argument is 18446744073709551612 MoL/Operators.c: In function ‘MoL_LinearCombination.constprop’: MoL/Operators.c:184:7: warning: argument to variable-length array is too large [-Wvla-larger-than=] 184 | int ash[dim]; | ^ MoL/Operators.c:184:7: note: limit is 9223372036854775807 bytes, but argument is 18446744073709551612 MoL/Operators.c:184:7: warning: argument to variable-length array is too large [-Wvla-larger-than=] MoL/Operators.c:184:7: note: limit is 9223372036854775807 bytes, but argument is 18446744073709551612 Stop make command: 2021-07-08 15:18:34 (1625771914.69812) Elapsed time for make command: 00:01:53 (113.22088599205) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:18:34 (1625771914.70152) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Iinclude -DCCODE" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-Iinclude -DFCODE" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:18:34 (1625771914.86349) Elapsed time for options command: 00:00:00 (0.161964893341064) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:18:34 (1625771914.86749) CXXC_VERSION_OPTION: CC_VERSION_OPTION: FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:18:35 (1625771915.04008) Elapsed time for compiler-version command: 00:00:00 (0.17259693145752) Compile for '607.cactuBSSN_s' ended at: 2021-07-08 15:18:35 (1625771915) Elapsed compile for '607.cactuBSSN_s': 00:01:54 (114) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Iinclude -DCCODE" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-Iinclude -DFCODE" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: CC_VERSION_OPTION: FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/exe/lbm_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/exe/lbm_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 619.lbm_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:18:35] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=619 NAME=lbm_s SOURCES= lbm.c main.c EXEBASE=lbm_s NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -DLARGE_WORKLOAD CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = 1e-07 action = build allow_label_override = 0 backup_config = 1 baseexe = lbm_s basepeak = 0 benchdir = benchspec benchmark = 619.lbm_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = lbm_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = lbm_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 619 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/519.lbm_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.904000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = lbm.out Compile for '619.lbm_s' started at: 2021-07-08 15:18:35 (1625771915) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:18:35 (1625771915.55467) rm -rf *.o lbm.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf lbm_s rm -rf lbm_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:18:35 (1625771915.71677) Elapsed time for make.clean command: 00:00:00 (0.162101030349731) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:18:35 (1625771915.71989) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o lbm.o -DSPEC -DNDEBUG -DLARGE_WORKLOAD -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 lbm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o main.o -DSPEC -DNDEBUG -DLARGE_WORKLOAD -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 main.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP lbm.o main.o -lm -o lbm_s Stop make command: 2021-07-08 15:18:36 (1625771916.29083) Elapsed time for make command: 00:00:00 (0.570939064025879) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:18:36 (1625771916.29389) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DLARGE_WORKLOAD -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DLARGE_WORKLOAD" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options command: 2021-07-08 15:18:36 (1625771916.45479) Elapsed time for options command: 00:00:00 (0.160894870758057) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:18:36 (1625771916.45825) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:18:36 (1625771916.62614) Elapsed time for compiler-version command: 00:00:00 (0.167892217636108) Compile for '619.lbm_s' ended at: 2021-07-08 15:18:36 (1625771916) Elapsed compile for '619.lbm_s': 00:00:01 (1) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DLARGE_WORKLOAD -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DLARGE_WORKLOAD" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/exe/diffwrf_621_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/exe/wrf_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/exe/diffwrf_621_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/exe/wrf_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 621.wrf_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:18:37] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/build/build_peak_x86_64-m64.0000/Makefile.diffwrf_621.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename netcdf/netcdf.f90)): netcdf/netcdf.f90 $(addsuffix $(OBJ),$(basename netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), $(basename netcdf/netcdf_test.f90)): netcdf/netcdf_test.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90 netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), ESMF_Alarm.fppized): ESMF_Alarm.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_AlarmClock.fppized): ESMF_AlarmClock.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_Clock.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_BaseTime.fppized): ESMF_BaseTime.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized) $(addsuffix $(OBJ), ESMF_Calendar.fppized): ESMF_Calendar.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_BaseTime.fppized) $(addsuffix $(OBJ), ESMF_Clock.fppized): ESMF_Clock.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_Base.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_Mod.fppized): ESMF_Mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_AlarmClock.fppized ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Clock.fppized ESMF_Fraction.fppized ESMF_Stubs.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_Stubs.fppized): ESMF_Stubs.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_Calendar.fppized) $(addsuffix $(OBJ), ESMF_Time.fppized): ESMF_Time.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Stubs.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_TimeInterval.fppized): ESMF_TimeInterval.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Fraction.fppized) $(addsuffix $(OBJ), Meat.fppized): Meat.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Clock.fppized ESMF_Fraction.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), adapt_timestep_em.fppized): adapt_timestep_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_em.fppized module_configure.fppized module_dm.fppized module_domain.fppized) $(addsuffix $(OBJ), couple_or_uncouple_em.fppized): couple_or_uncouple_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_state_description.fppized module_tiles.fppized) $(addsuffix $(OBJ), dfi.fppized): dfi.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_io_domain.fppized module_machine.fppized module_model_constants.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), diffwrf.fppized): diffwrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) wrf_io.fppized) $(addsuffix $(OBJ), f_xpose.fppized): f_xpose.fppized.f90 $(addsuffix $(OBJ),$(basename ) f_pack.fppized) $(addsuffix $(OBJ), field_routines.fppized): field_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) wrf_io.fppized) $(addsuffix $(OBJ), init_modules.fppized): init_modules.fppized.f90 $(addsuffix $(OBJ),$(basename ) io_int.fppized module_bc.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_io.fppized module_io_quilt.fppized module_io_wrf.fppized module_machine.fppized module_model_constants.fppized module_nesting.fppized module_tiles.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), input_wrf.fppized): input_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_date_time.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), interp_domain_em.fppized): interp_domain_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_state_description.fppized) $(addsuffix $(OBJ), interp_fcn.fppized): interp_fcn.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_interp_store.fppized module_model_constants.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), io_int.fppized): io_int.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_internal_header_util.fppized) $(addsuffix $(OBJ), mediation_feedback_domain.fppized): mediation_feedback_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_domain.fppized module_intermediate_nmm.fppized module_timing.fppized) $(addsuffix $(OBJ), mediation_force_domain.fppized): mediation_force_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_intermediate_nmm.fppized module_timing.fppized) $(addsuffix $(OBJ), mediation_integrate.fppized): mediation_integrate.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_io_domain.fppized module_model_constants.fppized module_state_description.fppized module_streams.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), mediation_interp_domain.fppized): mediation_interp_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_timing.fppized) $(addsuffix $(OBJ), mediation_nest_move.fppized): mediation_nest_move.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_compute_geop.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_model_constants.fppized module_state_description.fppized module_streams.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), mediation_wrfmain.fppized): mediation_wrfmain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_domain.fppized module_io.fppized module_io_domain.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), module_advect_em.fppized): module_advect_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_after_all_rk_steps.fppized): module_after_all_rk_steps.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_diagnostics_driver.fppized module_dm.fppized module_domain.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_alloc_space_0.fppized): module_alloc_space_0.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_1.fppized): module_alloc_space_1.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_2.fppized): module_alloc_space_2.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_3.fppized): module_alloc_space_3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_4.fppized): module_alloc_space_4.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_5.fppized): module_alloc_space_5.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_6.fppized): module_alloc_space_6.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_7.fppized): module_alloc_space_7.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_8.fppized): module_alloc_space_8.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_9.fppized): module_alloc_space_9.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_avgflx_em.fppized): module_avgflx_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bc.fppized): module_bc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bc_em.fppized): module_bc_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_configure.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bc_time_utilities.fppized): module_bc_time_utilities.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_utility.fppized) $(addsuffix $(OBJ), module_big_step_utilities_em.fppized): module_big_step_utilities_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bl_camuwpbl_driver.fppized): module_bl_camuwpbl_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_bl_diffusion_solver.fppized module_cam_bl_eddy_diff.fppized module_cam_constituents.fppized module_cam_molec_diff.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_trb_mtn_stress.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_fogdes.fppized): module_bl_fogdes.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_mynn.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_gbmpbl.fppized): module_bl_gbmpbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_gfs.fppized): module_bl_gfs.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_bl_gfs2011.fppized): module_bl_gfs2011.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_bl_mfshconvpbl.fppized): module_bl_mfshconvpbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_myjpbl.fppized): module_bl_myjpbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_myjurb.fppized): module_bl_myjurb.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_mynn.fppized): module_bl_mynn.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_bl_qnsepbl.fppized): module_bl_qnsepbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_qnsepbl09.fppized): module_bl_qnsepbl09.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_cam_bl_diffusion_solver.fppized): module_cam_bl_diffusion_solver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_bl_eddy_diff.fppized): module_cam_bl_eddy_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_bl_diffusion_solver.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_cldwat.fppized): module_cam_cldwat.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cam_constituents.fppized): module_cam_constituents.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_esinti.fppized): module_cam_esinti.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cam_gffgch.fppized): module_cam_gffgch.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_infnan.fppized): module_cam_infnan.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized) $(addsuffix $(OBJ), module_cam_molec_diff.fppized): module_cam_molec_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_support.fppized module_cam_upper_bc.fppized) $(addsuffix $(OBJ), module_cam_mp_cldwat2m_micro.fppized): module_cam_mp_cldwat2m_micro.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cam_mp_conv_water.fppized): module_cam_mp_conv_water.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_mp_microp_aero.fppized): module_cam_mp_microp_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_error_function.fppized module_cam_mp_ndrop.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_cam_mp_modal_aero_initialize_data_phys.fppized): module_cam_mp_modal_aero_initialize_data_phys.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_cam_mp_ndrop.fppized): module_cam_mp_ndrop.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_error_function.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_cam_mp_qneg3.fppized): module_cam_mp_qneg3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_mp_radconstants.fppized): module_cam_mp_radconstants.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_physconst.fppized): module_cam_physconst.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_const_mod.fppized module_cam_shr_kind_mod.fppized) $(addsuffix $(OBJ), module_cam_shr_const_mod.fppized): module_cam_shr_const_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized) $(addsuffix $(OBJ), module_cam_support.fppized): module_cam_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_cam_trb_mtn_stress.fppized): module_cam_trb_mtn_stress.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_upper_bc.fppized): module_cam_upper_bc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_wv_saturation.fppized): module_cam_wv_saturation.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_gffgch.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_check_a_mundo.fppized): module_check_a_mundo.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_comm_dm.fppized): module_comm_dm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm_0.fppized module_comm_dm_1.fppized module_comm_dm_2.fppized module_comm_dm_3.fppized module_comm_dm_4.fppized module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_0.fppized): module_comm_dm_0.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_1.fppized): module_comm_dm_1.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_2.fppized): module_comm_dm_2.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_3.fppized): module_comm_dm_3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_4.fppized): module_comm_dm_4.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_nesting_dm.fppized): module_comm_nesting_dm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_configure.fppized): module_configure.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain_type.fppized module_driver_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_cpl.fppized): module_cpl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cpl_oasis3.fppized module_domain.fppized module_driver_constants.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_cpl_oasis3.fppized): module_cpl_oasis3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_driver_constants.fppized) $(addsuffix $(OBJ), module_cu_bmj.fppized): module_cu_bmj.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_cu_camzm.fppized): module_cu_camzm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_cldwat.fppized module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cu_camzm_driver.fppized): module_cu_camzm_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_cu_camzm.fppized module_data_cam_mam_asect.fppized module_model_constants.fppized module_mp_cammgmp_driver.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_cu_kf.fppized): module_cu_kf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_cu_kfeta.fppized): module_cu_kfeta.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_cu_mesosas.fppized): module_cu_mesosas.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_cu_osas.fppized): module_cu_osas.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_cu_sas.fppized): module_cu_sas.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_cumulus_driver.fppized): module_cumulus_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_cu_bmj.fppized module_cu_camzm_driver.fppized module_cu_g3.fppized module_cu_gd.fppized module_cu_gf.fppized module_cu_kf.fppized module_cu_kfeta.fppized module_cu_mesosas.fppized module_cu_nsas.fppized module_cu_osas.fppized module_cu_sas.fppized module_cu_tiedtke.fppized module_dm.fppized module_domain.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_damping_em.fppized): module_damping_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_data_cam_mam_aero.fppized): module_data_cam_mam_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_mp_radconstants.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_data_cam_mam_asect.fppized): module_data_cam_mam_asect.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_date_time.fppized): module_date_time.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_diag_afwa.fppized): module_diag_afwa.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_diag_afwa_hail.fppized module_dm.fppized module_domain.fppized module_model_constants.fppized module_state_description.fppized module_streams.fppized module_utility.fppized) $(addsuffix $(OBJ), module_diag_cl.fppized): module_diag_cl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized) $(addsuffix $(OBJ), module_diag_misc.fppized): module_diag_misc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized) $(addsuffix $(OBJ), module_diag_pld.fppized): module_diag_pld.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_diagnostics_driver.fppized): module_diagnostics_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_diag_afwa.fppized module_diag_cl.fppized module_diag_misc.fppized module_diag_pld.fppized module_domain.fppized module_driver_constants.fppized module_lightning_driver.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_diffusion_em.fppized): module_diffusion_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_big_step_utilities_em.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_dm.fppized): module_dm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_comm_dm_3.fppized module_comm_nesting_dm.fppized module_configure.fppized module_cpl.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_state_description.fppized module_timing.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_domain.fppized): module_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_alloc_space_0.fppized module_alloc_space_1.fppized module_alloc_space_2.fppized module_alloc_space_3.fppized module_alloc_space_4.fppized module_alloc_space_5.fppized module_alloc_space_6.fppized module_alloc_space_7.fppized module_alloc_space_8.fppized module_alloc_space_9.fppized module_configure.fppized module_domain_type.fppized module_driver_constants.fppized module_machine.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_domain_type.fppized): module_domain_type.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_driver_constants.fppized module_streams.fppized module_utility.fppized) $(addsuffix $(OBJ), module_em.fppized): module_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_advect_em.fppized module_big_step_utilities_em.fppized module_configure.fppized module_damping_em.fppized module_dm.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_fdda_psufddagd.fppized): module_fdda_psufddagd.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_fdda_spnudging.fppized): module_fdda_spnudging.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_fddagd_driver.fppized): module_fddagd_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_fdda_psufddagd.fppized module_fdda_spnudging.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_fddaobs_driver.fppized): module_fddaobs_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_fddaobs_rtfdda.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fddaobs_rtfdda.fppized): module_fddaobs_rtfdda.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_first_rk_step_part1.fppized): module_first_rk_step_part1.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_big_step_utilities_em.fppized module_comm_dm.fppized module_configure.fppized module_convtrans_prep.fppized module_cumulus_driver.fppized module_dm.fppized module_domain.fppized module_em.fppized module_fddagd_driver.fppized module_force_scm.fppized module_fr_fire_driver_wrf.fppized module_model_constants.fppized module_pbl_driver.fppized module_radiation_driver.fppized module_shallowcu_driver.fppized module_state_description.fppized module_surface_driver.fppized module_utility.fppized) $(addsuffix $(OBJ), module_first_rk_step_part2.fppized): module_first_rk_step_part2.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_diffusion_em.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_em.fppized module_fddaobs_driver.fppized module_model_constants.fppized module_physics_addtendc.fppized module_state_description.fppized module_stoch.fppized) $(addsuffix $(OBJ), module_force_scm.fppized): module_force_scm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_init_utilities.fppized) $(addsuffix $(OBJ), module_fr_fire_atm.fppized): module_fr_fire_atm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_util.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fr_fire_core.fppized): module_fr_fire_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_phys.fppized module_fr_fire_util.fppized) $(addsuffix $(OBJ), module_fr_fire_driver.fppized): module_fr_fire_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_fr_fire_core.fppized module_fr_fire_model.fppized module_fr_fire_phys.fppized module_fr_fire_util.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fr_fire_driver_wrf.fppized): module_fr_fire_driver_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_fr_fire_atm.fppized module_fr_fire_driver.fppized module_fr_fire_util.fppized) $(addsuffix $(OBJ), module_fr_fire_model.fppized): module_fr_fire_model.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_core.fppized module_fr_fire_phys.fppized module_fr_fire_util.fppized) $(addsuffix $(OBJ), module_fr_fire_phys.fppized): module_fr_fire_phys.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_util.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fr_fire_util.fppized): module_fr_fire_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_gfs_funcphys.fppized): module_gfs_funcphys.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_gfs_physcons.fppized): module_gfs_physcons.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized) $(addsuffix $(OBJ), module_initialize_real.fppized): module_initialize_real.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_io_domain.fppized module_llxy.fppized module_model_constants.fppized module_optional_input.fppized module_polarfft.fppized module_soil_pre.fppized module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), module_integrate.fppized): module_integrate.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cpl.fppized module_domain.fppized module_driver_constants.fppized module_nesting.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), module_intermediate_nmm.fppized): module_intermediate_nmm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), module_interp_store.fppized): module_interp_store.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain_type.fppized) $(addsuffix $(OBJ), module_io.fppized): module_io.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_driver_constants.fppized module_state_description.fppized module_streams.fppized) $(addsuffix $(OBJ), module_io_domain.fppized): module_io_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), module_io_quilt.fppized): module_io_quilt.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cpl.fppized module_dm.fppized module_internal_header_util.fppized module_quilt_outbuf_ops.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_io_wrf.fppized): module_io_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_date_time.fppized module_streams.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_lightning_driver.fppized): module_lightning_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_ltng_cpmpr92z.fppized module_ltng_crmpr92.fppized module_ltng_iccg.fppized module_ltng_lpi.fppized module_model_constants.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_llxy.fppized): module_llxy.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_ltng_cpmpr92z.fppized): module_ltng_cpmpr92z.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ltng_crmpr92.fppized): module_ltng_crmpr92.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ltng_iccg.fppized): module_ltng_iccg.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_utility.fppized) $(addsuffix $(OBJ), module_machine.fppized): module_machine.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_driver_constants.fppized) $(addsuffix $(OBJ), module_microphysics_driver.fppized): module_microphysics_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_data_cam_mam_aero.fppized module_domain.fppized module_mixactivate.fppized module_model_constants.fppized module_mp_HWRF.fppized module_mp_cammgmp_driver.fppized module_mp_etanew.fppized module_mp_etaold.fppized module_mp_fast_sbm.fppized module_mp_full_sbm.fppized module_mp_gsfcgce.fppized module_mp_kessler.fppized module_mp_lin.fppized module_mp_milbrandt2mom.fppized module_mp_morr_two_moment.fppized module_mp_nssl_2mom.fppized module_mp_sbu_ylin.fppized module_mp_thompson.fppized module_mp_wdm5.fppized module_mp_wdm6.fppized module_mp_wsm3.fppized module_mp_wsm5.fppized module_mp_wsm6.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_microphysics_zero_out.fppized): module_microphysics_zero_out.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_mixactivate.fppized): module_mixactivate.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_radiation_driver.fppized) $(addsuffix $(OBJ), module_mp_cammgmp_driver.fppized): module_mp_cammgmp_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_cldwat.fppized module_cam_constituents.fppized module_cam_mp_cldwat2m_micro.fppized module_cam_mp_conv_water.fppized module_cam_mp_microp_aero.fppized module_cam_mp_ndrop.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_configure.fppized module_data_cam_mam_aero.fppized module_data_cam_mam_asect.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_mp_fast_sbm.fppized): module_mp_fast_sbm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_mp_radar.fppized) $(addsuffix $(OBJ), module_mp_full_sbm.fppized): module_mp_full_sbm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_mp_radar.fppized) $(addsuffix $(OBJ), module_mp_gsfcgce.fppized): module_mp_gsfcgce.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_lin.fppized): module_mp_lin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_milbrandt2mom.fppized): module_mp_milbrandt2mom.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_mp_morr_two_moment.fppized): module_mp_morr_two_moment.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_model_constants.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_radar.fppized): module_mp_radar.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_mp_thompson.fppized): module_mp_thompson.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_mp_radar.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_mp_wdm5.fppized): module_mp_wdm5.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_wdm6.fppized): module_mp_wdm6.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_wsm5.fppized): module_mp_wsm5.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_wsm6.fppized): module_mp_wsm6.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_nesting.fppized): module_nesting.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_utility.fppized) $(addsuffix $(OBJ), module_optional_input.fppized): module_optional_input.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_io_domain.fppized module_io_wrf.fppized) $(addsuffix $(OBJ), module_pbl_driver.fppized): module_pbl_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_acm.fppized module_bl_boulac.fppized module_bl_camuwpbl_driver.fppized module_bl_fogdes.fppized module_bl_gbmpbl.fppized module_bl_gfs.fppized module_bl_gfs2011.fppized module_bl_gwdo.fppized module_bl_mfshconvpbl.fppized module_bl_mrf.fppized module_bl_myjpbl.fppized module_bl_myjurb.fppized module_bl_mynn.fppized module_bl_qnsepbl.fppized module_bl_qnsepbl09.fppized module_bl_temf.fppized module_bl_ysu.fppized module_model_constants.fppized module_state_description.fppized module_wind_fitch.fppized) $(addsuffix $(OBJ), module_physics_addtendc.fppized): module_physics_addtendc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cu_kf.fppized module_cu_kfeta.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_physics_init.fppized): module_physics_init.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_acm.fppized module_bl_boulac.fppized module_bl_camuwpbl_driver.fppized module_bl_gbmpbl.fppized module_bl_gfs.fppized module_bl_gfs2011.fppized module_bl_mfshconvpbl.fppized module_bl_mrf.fppized module_bl_myjpbl.fppized module_bl_myjurb.fppized module_bl_mynn.fppized module_bl_qnsepbl.fppized module_bl_qnsepbl09.fppized module_bl_temf.fppized module_bl_ysu.fppized module_cam_constituents.fppized module_cam_esinti.fppized module_cam_mp_modal_aero_initialize_data_phys.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_configure.fppized module_cu_bmj.fppized module_cu_camzm_driver.fppized module_cu_g3.fppized module_cu_gd.fppized module_cu_kf.fppized module_cu_kfeta.fppized module_cu_mesosas.fppized module_cu_nsas.fppized module_cu_osas.fppized module_cu_sas.fppized module_cu_tiedtke.fppized module_data_cam_mam_aero.fppized module_dom ain.fppized module_fdda_psufddagd.fppized module_fdda_spnudging.fppized module_fddaobs_rtfdda.fppized module_llxy.fppized module_model_constants.fppized module_mp_HWRF.fppized module_mp_cammgmp_driver.fppized module_mp_etanew.fppized module_mp_etaold.fppized module_mp_fast_sbm.fppized module_mp_full_sbm.fppized module_mp_milbrandt2mom.fppized module_mp_morr_two_moment.fppized module_mp_nssl_2mom.fppized module_mp_thompson.fppized module_mp_wdm5.fppized module_mp_wdm6.fppized module_mp_wsm3.fppized module_mp_wsm5.fppized module_mp_wsm6.fppized module_ra_HWRF.fppized module_ra_cam.fppized module_ra_cam_support.fppized module_ra_gfdleta.fppized module_ra_gsfcsw.fppized module_ra_hs.fppized module_ra_rrtm.fppized module_ra_sw.fppized module_sf_bep.fppized module_sf_bep_bem.fppized module_sf_clm.fppized module_sf_gfdl.fppized module_sf_lake.fppized module_sf_myjsfc.fppized module_sf_mynn.fppized module_sf_noahdrv.fppized module_sf_noahmpdrv.fppized module_sf_oml.fppized module_sf_pxlsm.fppized module_sf_pxsfcl ay.fppized module_sf_qnsesfc.fppized module_sf_ruclsm.fppized module_sf_sfclay.fppized module_sf_sfclayrev.fppized module_sf_slab.fppized module_sf_temfsfclay.fppized module_sf_urban.fppized module_shcu_camuwshcu.fppized module_shcu_grims.fppized module_state_description.fppized module_wind_fitch.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_polarfft.fppized): module_polarfft.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_progtm.fppized): module_progtm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized) $(addsuffix $(OBJ), module_quilt_outbuf_ops.fppized): module_quilt_outbuf_ops.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), module_ra_HWRF.fppized): module_ra_HWRF.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_mp_HWRF.fppized) $(addsuffix $(OBJ), module_ra_aerosol.fppized): module_ra_aerosol.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_cam.fppized): module_ra_cam.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_support.fppized module_ra_cam_support.fppized module_ra_clWRF_support.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_cam_support.fppized): module_ra_cam_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_support.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_clWRF_support.fppized): module_ra_clWRF_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_gfdleta.fppized): module_ra_gfdleta.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_mp_etanew.fppized) $(addsuffix $(OBJ), module_ra_rrtm.fppized): module_ra_rrtm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_ra_clWRF_support.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_radiation_driver.fppized): module_radiation_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_dm.fppized module_domain.fppized module_model_constants.fppized module_ra_HWRF.fppized module_ra_aerosol.fppized module_ra_cam.fppized module_ra_flg.fppized module_ra_gfdleta.fppized module_ra_goddard.fppized module_ra_gsfcsw.fppized module_ra_hs.fppized module_ra_rrtm.fppized module_ra_sw.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_bep.fppized): module_sf_bep.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_urban.fppized) $(addsuffix $(OBJ), module_sf_bep_bem.fppized): module_sf_bep_bem.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_bem.fppized module_sf_urban.fppized) $(addsuffix $(OBJ), module_sf_clm.fppized): module_sf_clm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_const_mod.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_configure.fppized module_date_time.fppized module_sf_urban.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_fogdes.fppized): module_sf_fogdes.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_mynn.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_gfdl.fppized): module_sf_gfdl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_sf_gfs.fppized): module_sf_gfs.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized module_progtm.fppized) $(addsuffix $(OBJ), module_sf_lake.fppized): module_sf_lake.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_myjsfc.fppized): module_sf_myjsfc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_mynn.fppized): module_sf_mynn.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_mynn.fppized module_model_constants.fppized module_sf_sfclay.fppized) $(addsuffix $(OBJ), module_sf_noah_seaice.fppized): module_sf_noah_seaice.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_noahlsm.fppized) $(addsuffix $(OBJ), module_sf_noah_seaice_drv.fppized): module_sf_noah_seaice_drv.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_noah_seaice.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_sf_noahdrv.fppized): module_sf_noahdrv.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_gocart_dust.fppized module_sf_bep.fppized module_sf_bep_bem.fppized module_sf_noahlsm.fppized module_sf_noahlsm_glacial_only.fppized module_sf_urban.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_noahlsm.fppized): module_sf_noahlsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_noahlsm_glacial_only.fppized): module_sf_noahlsm_glacial_only.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_noahlsm.fppized) $(addsuffix $(OBJ), module_sf_noahmp_groundwater.fppized): module_sf_noahmp_groundwater.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_noahlsm.fppized) $(addsuffix $(OBJ), module_sf_noahmpdrv.fppized): module_sf_noahmpdrv.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_gocart_dust.fppized module_ra_gfdleta.fppized module_sf_noah_seaice.fppized module_sf_noahdrv.fppized module_sf_noahmp_glacier.fppized module_sf_noahmp_groundwater.fppized module_sf_noahmplsm.fppized module_sf_urban.fppized) $(addsuffix $(OBJ), module_sf_noahmplsm.fppized): module_sf_noahmplsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_myjsfc.fppized module_sf_noahlsm.fppized module_sf_sfclay.fppized) $(addsuffix $(OBJ), module_sf_ocean_driver.fppized): module_sf_ocean_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_3dpwp.fppized module_sf_oml.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_sf_pxlsm.fppized): module_sf_pxlsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_pxlsm_data.fppized) $(addsuffix $(OBJ), module_sf_qnsesfc.fppized): module_sf_qnsesfc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_ruclsm.fppized): module_sf_ruclsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_gocart_dust.fppized module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_sstskin.fppized): module_sf_sstskin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_shallowcu_driver.fppized): module_shallowcu_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_model_constants.fppized module_shcu_camuwshcu_driver.fppized module_shcu_grims.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_shcu_camuwshcu.fppized): module_shcu_camuwshcu.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_error_function.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_shcu_camuwshcu_driver.fppized): module_shcu_camuwshcu_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_asect.fppized module_mp_cammgmp_driver.fppized module_shcu_camuwshcu.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_small_step_em.fppized): module_small_step_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_soil_pre.fppized): module_soil_pre.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_date_time.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_stoch.fppized): module_stoch.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), module_surface_driver.fppized): module_surface_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cpl.fppized module_model_constants.fppized module_sf_clm.fppized module_sf_fogdes.fppized module_sf_gfdl.fppized module_sf_gfs.fppized module_sf_idealscmsfclay.fppized module_sf_lake.fppized module_sf_myjsfc.fppized module_sf_mynn.fppized module_sf_noah_seaice_drv.fppized module_sf_noahdrv.fppized module_sf_noahmp_groundwater.fppized module_sf_noahmpdrv.fppized module_sf_ocean_driver.fppized module_sf_pxlsm.fppized module_sf_pxsfclay.fppized module_sf_qnsesfc.fppized module_sf_ruclsm.fppized module_sf_scmflux.fppized module_sf_scmskintemp.fppized module_sf_sfcdiags.fppized module_sf_sfcdiags_ruclsm.fppized module_sf_sfclay.fppized module_sf_sfclayrev.fppized module_sf_slab.fppized module_sf_ssib.fppized module_sf_sstskin.fppized module_sf_temfsfclay.fppized module_sf_tmnupdate.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_symbols_util.fppized): module_symbols_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized) $(addsuffix $(OBJ), module_tiles.fppized): module_tiles.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_timing.fppized): module_timing.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_utility.fppized): module_utility.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_symbols_util.fppized) $(addsuffix $(OBJ), module_wind_fitch.fppized): module_wind_fitch.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_driver_constants.fppized module_llxy.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_wps_io_arw.fppized): module_wps_io_arw.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_internal_header_util.fppized module_optional_input.fppized module_soil_pre.fppized) $(addsuffix $(OBJ), module_wrf_top.fppized): module_wrf_top.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_check_a_mundo.fppized module_configure.fppized module_cpl.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_integrate.fppized module_machine.fppized module_nesting.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), ndown_em.fppized): ndown_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_big_step_utilities_em.fppized module_check_a_mundo.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_driver_constants.fppized module_get_file_names.fppized module_initialize_real.fppized module_integrate.fppized module_io_domain.fppized module_machine.fppized module_optional_input.fppized module_soil_pre.fppized module_timing.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), nest_init_utils.fppized): nest_init_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_model_constants.fppized module_state_description.fppized module_tiles.fppized) $(addsuffix $(OBJ), nl_get_0_routines.fppized): nl_get_0_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_1_routines.fppized): nl_get_1_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_2_routines.fppized): nl_get_2_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_3_routines.fppized): nl_get_3_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_4_routines.fppized): nl_get_4_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_5_routines.fppized): nl_get_5_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_6_routines.fppized): nl_get_6_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_7_routines.fppized): nl_get_7_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_0_routines.fppized): nl_set_0_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_1_routines.fppized): nl_set_1_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_2_routines.fppized): nl_set_2_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_3_routines.fppized): nl_set_3_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_4_routines.fppized): nl_set_4_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_5_routines.fppized): nl_set_5_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_6_routines.fppized): nl_set_6_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_7_routines.fppized): nl_set_7_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nup_em.fppized): nup_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_big_step_utilities_em.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_driver_constants.fppized module_get_file_names.fppized module_initialize_real.fppized module_integrate.fppized module_io_domain.fppized module_machine.fppized module_optional_input.fppized module_soil_pre.fppized module_streams.fppized module_timing.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), output_wrf.fppized): output_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_domain_type.fppized module_io.fppized module_io_wrf.fppized module_model_constants.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), real_em.fppized): real_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_bc_time_utilities.fppized module_big_step_utilities_em.fppized module_check_a_mundo.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_initialize_real.fppized module_io_domain.fppized module_machine.fppized module_optional_input.fppized module_state_description.fppized module_symbols_util.fppized module_timing.fppized module_utility.fppized module_wps_io_arw.fppized) $(addsuffix $(OBJ), set_timekeeping.fppized): set_timekeeping.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_utility.fppized) $(addsuffix $(OBJ), shift_domain_em.fppized): shift_domain_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), solve_em.fppized): solve_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_after_all_rk_steps.fppized module_avgflx_em.fppized module_bc.fppized module_bc_em.fppized module_big_step_utilities_em.fppized module_comm_dm.fppized module_configure.fppized module_cpl.fppized module_diffusion_em.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_driver_constants.fppized module_em.fppized module_fddaobs_driver.fppized module_first_rk_step_part1.fppized module_first_rk_step_part2.fppized module_llxy.fppized module_machine.fppized module_microphysics_driver.fppized module_microphysics_zero_out.fppized module_model_constants.fppized module_physics_addtendc.fppized module_polarfft.fppized module_small_step_em.fppized module_solvedebug_em.fppized module_state_description.fppized module_tiles.fppized module_utility.fppized) $(addsuffix $(OBJ), solve_interface.fppized): solve_interface.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), start_domain.fppized): start_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized) $(addsuffix $(OBJ), start_em.fppized): start_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_avgflx_em.fppized module_bc.fppized module_bc_em.fppized module_comm_dm.fppized module_configure.fppized module_diag_pld.fppized module_dm.fppized module_domain.fppized module_fr_fire_driver_wrf.fppized module_lightning_driver.fppized module_model_constants.fppized module_physics_init.fppized module_state_description.fppized module_stoch.fppized module_tiles.fppized) $(addsuffix $(OBJ), tc_em.fppized): tc_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_bc_time_utilities.fppized module_big_step_utilities_em.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_initialize_real.fppized module_io_domain.fppized module_llxy.fppized module_machine.fppized module_optional_input.fppized module_state_description.fppized module_symbols_util.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), track_driver.fppized): track_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), track_input.fppized): track_input.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_utility.fppized) $(addsuffix $(OBJ), wrf.fppized): wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_top.fppized) $(addsuffix $(OBJ), wrf_bdyin.fppized): wrf_bdyin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_date_time.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), wrf_bdyout.fppized): wrf_bdyout.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_debug.fppized): wrf_debug.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_ext_read_field.fppized): wrf_ext_read_field.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_io.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_ext_write_field.fppized): wrf_ext_write_field.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_io.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_fddaobs_in.fppized): wrf_fddaobs_in.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_date_time.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized) $(addsuffix $(OBJ), wrf_timeseries.fppized): wrf_timeseries.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), wrf_tsin.fppized): wrf_tsin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_utility.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/build/build_peak_x86_64-m64.0000/Makefile.wrf_s.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename netcdf/netcdf.f90)): netcdf/netcdf.f90 $(addsuffix $(OBJ),$(basename netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), $(basename netcdf/netcdf_test.f90)): netcdf/netcdf_test.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90 netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), ESMF_Alarm.fppized): ESMF_Alarm.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_AlarmClock.fppized): ESMF_AlarmClock.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_Clock.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_BaseTime.fppized): ESMF_BaseTime.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized) $(addsuffix $(OBJ), ESMF_Calendar.fppized): ESMF_Calendar.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_BaseTime.fppized) $(addsuffix $(OBJ), ESMF_Clock.fppized): ESMF_Clock.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_Base.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_Mod.fppized): ESMF_Mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_AlarmClock.fppized ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Clock.fppized ESMF_Fraction.fppized ESMF_Stubs.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_Stubs.fppized): ESMF_Stubs.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_Calendar.fppized) $(addsuffix $(OBJ), ESMF_Time.fppized): ESMF_Time.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Stubs.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), ESMF_TimeInterval.fppized): ESMF_TimeInterval.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Fraction.fppized) $(addsuffix $(OBJ), Meat.fppized): Meat.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Alarm.fppized ESMF_Base.fppized ESMF_BaseTime.fppized ESMF_Calendar.fppized ESMF_Clock.fppized ESMF_Fraction.fppized ESMF_Time.fppized ESMF_TimeInterval.fppized) $(addsuffix $(OBJ), adapt_timestep_em.fppized): adapt_timestep_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_em.fppized module_configure.fppized module_dm.fppized module_domain.fppized) $(addsuffix $(OBJ), couple_or_uncouple_em.fppized): couple_or_uncouple_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_state_description.fppized module_tiles.fppized) $(addsuffix $(OBJ), dfi.fppized): dfi.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_io_domain.fppized module_machine.fppized module_model_constants.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), diffwrf.fppized): diffwrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) wrf_io.fppized) $(addsuffix $(OBJ), f_xpose.fppized): f_xpose.fppized.f90 $(addsuffix $(OBJ),$(basename ) f_pack.fppized) $(addsuffix $(OBJ), field_routines.fppized): field_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) wrf_io.fppized) $(addsuffix $(OBJ), init_modules.fppized): init_modules.fppized.f90 $(addsuffix $(OBJ),$(basename ) io_int.fppized module_bc.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_io.fppized module_io_quilt.fppized module_io_wrf.fppized module_machine.fppized module_model_constants.fppized module_nesting.fppized module_tiles.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), input_wrf.fppized): input_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_date_time.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), interp_domain_em.fppized): interp_domain_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_state_description.fppized) $(addsuffix $(OBJ), interp_fcn.fppized): interp_fcn.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_interp_store.fppized module_model_constants.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), io_int.fppized): io_int.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_internal_header_util.fppized) $(addsuffix $(OBJ), mediation_feedback_domain.fppized): mediation_feedback_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_domain.fppized module_intermediate_nmm.fppized module_timing.fppized) $(addsuffix $(OBJ), mediation_force_domain.fppized): mediation_force_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_intermediate_nmm.fppized module_timing.fppized) $(addsuffix $(OBJ), mediation_integrate.fppized): mediation_integrate.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_io_domain.fppized module_model_constants.fppized module_state_description.fppized module_streams.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), mediation_interp_domain.fppized): mediation_interp_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_timing.fppized) $(addsuffix $(OBJ), mediation_nest_move.fppized): mediation_nest_move.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_compute_geop.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_model_constants.fppized module_state_description.fppized module_streams.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), mediation_wrfmain.fppized): mediation_wrfmain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_domain.fppized module_io.fppized module_io_domain.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), module_advect_em.fppized): module_advect_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_after_all_rk_steps.fppized): module_after_all_rk_steps.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_diagnostics_driver.fppized module_dm.fppized module_domain.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_alloc_space_0.fppized): module_alloc_space_0.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_1.fppized): module_alloc_space_1.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_2.fppized): module_alloc_space_2.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_3.fppized): module_alloc_space_3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_4.fppized): module_alloc_space_4.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_5.fppized): module_alloc_space_5.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_6.fppized): module_alloc_space_6.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_7.fppized): module_alloc_space_7.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_8.fppized): module_alloc_space_8.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_alloc_space_9.fppized): module_alloc_space_9.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain_type.fppized) $(addsuffix $(OBJ), module_avgflx_em.fppized): module_avgflx_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bc.fppized): module_bc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bc_em.fppized): module_bc_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_configure.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bc_time_utilities.fppized): module_bc_time_utilities.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_utility.fppized) $(addsuffix $(OBJ), module_big_step_utilities_em.fppized): module_big_step_utilities_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_bl_camuwpbl_driver.fppized): module_bl_camuwpbl_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_bl_diffusion_solver.fppized module_cam_bl_eddy_diff.fppized module_cam_constituents.fppized module_cam_molec_diff.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_trb_mtn_stress.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_fogdes.fppized): module_bl_fogdes.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_mynn.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_gbmpbl.fppized): module_bl_gbmpbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_gfs.fppized): module_bl_gfs.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_bl_gfs2011.fppized): module_bl_gfs2011.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_bl_mfshconvpbl.fppized): module_bl_mfshconvpbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_myjpbl.fppized): module_bl_myjpbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_myjurb.fppized): module_bl_myjurb.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_mynn.fppized): module_bl_mynn.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_bl_qnsepbl.fppized): module_bl_qnsepbl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_bl_qnsepbl09.fppized): module_bl_qnsepbl09.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_cam_bl_diffusion_solver.fppized): module_cam_bl_diffusion_solver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_bl_eddy_diff.fppized): module_cam_bl_eddy_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_bl_diffusion_solver.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_cldwat.fppized): module_cam_cldwat.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cam_constituents.fppized): module_cam_constituents.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_esinti.fppized): module_cam_esinti.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cam_gffgch.fppized): module_cam_gffgch.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_infnan.fppized): module_cam_infnan.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized) $(addsuffix $(OBJ), module_cam_molec_diff.fppized): module_cam_molec_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_support.fppized module_cam_upper_bc.fppized) $(addsuffix $(OBJ), module_cam_mp_cldwat2m_micro.fppized): module_cam_mp_cldwat2m_micro.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cam_mp_conv_water.fppized): module_cam_mp_conv_water.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_mp_microp_aero.fppized): module_cam_mp_microp_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_error_function.fppized module_cam_mp_ndrop.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_cam_mp_modal_aero_initialize_data_phys.fppized): module_cam_mp_modal_aero_initialize_data_phys.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_cam_mp_ndrop.fppized): module_cam_mp_ndrop.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_error_function.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_cam_mp_qneg3.fppized): module_cam_mp_qneg3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_mp_radconstants.fppized): module_cam_mp_radconstants.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_physconst.fppized): module_cam_physconst.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_const_mod.fppized module_cam_shr_kind_mod.fppized) $(addsuffix $(OBJ), module_cam_shr_const_mod.fppized): module_cam_shr_const_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized) $(addsuffix $(OBJ), module_cam_support.fppized): module_cam_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_cam_trb_mtn_stress.fppized): module_cam_trb_mtn_stress.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_upper_bc.fppized): module_cam_upper_bc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_cam_wv_saturation.fppized): module_cam_wv_saturation.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_gffgch.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_check_a_mundo.fppized): module_check_a_mundo.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_comm_dm.fppized): module_comm_dm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm_0.fppized module_comm_dm_1.fppized module_comm_dm_2.fppized module_comm_dm_3.fppized module_comm_dm_4.fppized module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_0.fppized): module_comm_dm_0.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_1.fppized): module_comm_dm_1.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_2.fppized): module_comm_dm_2.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_3.fppized): module_comm_dm_3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_dm_4.fppized): module_comm_dm_4.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_comm_nesting_dm.fppized): module_comm_nesting_dm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_configure.fppized): module_configure.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain_type.fppized module_driver_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_cpl.fppized): module_cpl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cpl_oasis3.fppized module_domain.fppized module_driver_constants.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_cpl_oasis3.fppized): module_cpl_oasis3.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_driver_constants.fppized) $(addsuffix $(OBJ), module_cu_bmj.fppized): module_cu_bmj.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_cu_camzm.fppized): module_cu_camzm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_cldwat.fppized module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized) $(addsuffix $(OBJ), module_cu_camzm_driver.fppized): module_cu_camzm_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_cu_camzm.fppized module_data_cam_mam_asect.fppized module_model_constants.fppized module_mp_cammgmp_driver.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_cu_kf.fppized): module_cu_kf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_cu_kfeta.fppized): module_cu_kfeta.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_cu_mesosas.fppized): module_cu_mesosas.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_cu_osas.fppized): module_cu_osas.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_cu_sas.fppized): module_cu_sas.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_cumulus_driver.fppized): module_cumulus_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_cu_bmj.fppized module_cu_camzm_driver.fppized module_cu_g3.fppized module_cu_gd.fppized module_cu_gf.fppized module_cu_kf.fppized module_cu_kfeta.fppized module_cu_mesosas.fppized module_cu_nsas.fppized module_cu_osas.fppized module_cu_sas.fppized module_cu_tiedtke.fppized module_dm.fppized module_domain.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_damping_em.fppized): module_damping_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_data_cam_mam_aero.fppized): module_data_cam_mam_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_mp_radconstants.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized) $(addsuffix $(OBJ), module_data_cam_mam_asect.fppized): module_data_cam_mam_asect.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_kind_mod.fppized module_data_cam_mam_aero.fppized) $(addsuffix $(OBJ), module_date_time.fppized): module_date_time.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_diag_afwa.fppized): module_diag_afwa.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_diag_afwa_hail.fppized module_dm.fppized module_domain.fppized module_model_constants.fppized module_state_description.fppized module_streams.fppized module_utility.fppized) $(addsuffix $(OBJ), module_diag_cl.fppized): module_diag_cl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized) $(addsuffix $(OBJ), module_diag_misc.fppized): module_diag_misc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized) $(addsuffix $(OBJ), module_diag_pld.fppized): module_diag_pld.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_diagnostics_driver.fppized): module_diagnostics_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_diag_afwa.fppized module_diag_cl.fppized module_diag_misc.fppized module_diag_pld.fppized module_domain.fppized module_driver_constants.fppized module_lightning_driver.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_diffusion_em.fppized): module_diffusion_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_big_step_utilities_em.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_dm.fppized): module_dm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_comm_dm_3.fppized module_comm_nesting_dm.fppized module_configure.fppized module_cpl.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_state_description.fppized module_timing.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_domain.fppized): module_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_alloc_space_0.fppized module_alloc_space_1.fppized module_alloc_space_2.fppized module_alloc_space_3.fppized module_alloc_space_4.fppized module_alloc_space_5.fppized module_alloc_space_6.fppized module_alloc_space_7.fppized module_alloc_space_8.fppized module_alloc_space_9.fppized module_configure.fppized module_domain_type.fppized module_driver_constants.fppized module_machine.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_domain_type.fppized): module_domain_type.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_driver_constants.fppized module_streams.fppized module_utility.fppized) $(addsuffix $(OBJ), module_em.fppized): module_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_advect_em.fppized module_big_step_utilities_em.fppized module_configure.fppized module_damping_em.fppized module_dm.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_fdda_psufddagd.fppized): module_fdda_psufddagd.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_fdda_spnudging.fppized): module_fdda_spnudging.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_fddagd_driver.fppized): module_fddagd_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_fdda_psufddagd.fppized module_fdda_spnudging.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_fddaobs_driver.fppized): module_fddaobs_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_fddaobs_rtfdda.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fddaobs_rtfdda.fppized): module_fddaobs_rtfdda.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_first_rk_step_part1.fppized): module_first_rk_step_part1.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_big_step_utilities_em.fppized module_comm_dm.fppized module_configure.fppized module_convtrans_prep.fppized module_cumulus_driver.fppized module_dm.fppized module_domain.fppized module_em.fppized module_fddagd_driver.fppized module_force_scm.fppized module_fr_fire_driver_wrf.fppized module_model_constants.fppized module_pbl_driver.fppized module_radiation_driver.fppized module_shallowcu_driver.fppized module_state_description.fppized module_surface_driver.fppized module_utility.fppized) $(addsuffix $(OBJ), module_first_rk_step_part2.fppized): module_first_rk_step_part2.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_diffusion_em.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_em.fppized module_fddaobs_driver.fppized module_model_constants.fppized module_physics_addtendc.fppized module_state_description.fppized module_stoch.fppized) $(addsuffix $(OBJ), module_force_scm.fppized): module_force_scm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_init_utilities.fppized) $(addsuffix $(OBJ), module_fr_fire_atm.fppized): module_fr_fire_atm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_util.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fr_fire_core.fppized): module_fr_fire_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_phys.fppized module_fr_fire_util.fppized) $(addsuffix $(OBJ), module_fr_fire_driver.fppized): module_fr_fire_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_fr_fire_core.fppized module_fr_fire_model.fppized module_fr_fire_phys.fppized module_fr_fire_util.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fr_fire_driver_wrf.fppized): module_fr_fire_driver_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_fr_fire_atm.fppized module_fr_fire_driver.fppized module_fr_fire_util.fppized) $(addsuffix $(OBJ), module_fr_fire_model.fppized): module_fr_fire_model.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_core.fppized module_fr_fire_phys.fppized module_fr_fire_util.fppized) $(addsuffix $(OBJ), module_fr_fire_phys.fppized): module_fr_fire_phys.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_fr_fire_util.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_fr_fire_util.fppized): module_fr_fire_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_gfs_funcphys.fppized): module_gfs_funcphys.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_gfs_physcons.fppized): module_gfs_physcons.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized) $(addsuffix $(OBJ), module_initialize_real.fppized): module_initialize_real.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_io_domain.fppized module_llxy.fppized module_model_constants.fppized module_optional_input.fppized module_polarfft.fppized module_soil_pre.fppized module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), module_integrate.fppized): module_integrate.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cpl.fppized module_domain.fppized module_driver_constants.fppized module_nesting.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), module_intermediate_nmm.fppized): module_intermediate_nmm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), module_interp_store.fppized): module_interp_store.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain_type.fppized) $(addsuffix $(OBJ), module_io.fppized): module_io.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_driver_constants.fppized module_state_description.fppized module_streams.fppized) $(addsuffix $(OBJ), module_io_domain.fppized): module_io_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), module_io_quilt.fppized): module_io_quilt.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cpl.fppized module_dm.fppized module_internal_header_util.fppized module_quilt_outbuf_ops.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_io_wrf.fppized): module_io_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_date_time.fppized module_streams.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_lightning_driver.fppized): module_lightning_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_ltng_cpmpr92z.fppized module_ltng_crmpr92.fppized module_ltng_iccg.fppized module_ltng_lpi.fppized module_model_constants.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_llxy.fppized): module_llxy.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_ltng_cpmpr92z.fppized): module_ltng_cpmpr92z.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ltng_crmpr92.fppized): module_ltng_crmpr92.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ltng_iccg.fppized): module_ltng_iccg.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_utility.fppized) $(addsuffix $(OBJ), module_machine.fppized): module_machine.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_driver_constants.fppized) $(addsuffix $(OBJ), module_microphysics_driver.fppized): module_microphysics_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_data_cam_mam_aero.fppized module_domain.fppized module_mixactivate.fppized module_model_constants.fppized module_mp_HWRF.fppized module_mp_cammgmp_driver.fppized module_mp_etanew.fppized module_mp_etaold.fppized module_mp_fast_sbm.fppized module_mp_full_sbm.fppized module_mp_gsfcgce.fppized module_mp_kessler.fppized module_mp_lin.fppized module_mp_milbrandt2mom.fppized module_mp_morr_two_moment.fppized module_mp_nssl_2mom.fppized module_mp_sbu_ylin.fppized module_mp_thompson.fppized module_mp_wdm5.fppized module_mp_wdm6.fppized module_mp_wsm3.fppized module_mp_wsm5.fppized module_mp_wsm6.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_microphysics_zero_out.fppized): module_microphysics_zero_out.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_mixactivate.fppized): module_mixactivate.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_radiation_driver.fppized) $(addsuffix $(OBJ), module_mp_cammgmp_driver.fppized): module_mp_cammgmp_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_cldwat.fppized module_cam_constituents.fppized module_cam_mp_cldwat2m_micro.fppized module_cam_mp_conv_water.fppized module_cam_mp_microp_aero.fppized module_cam_mp_ndrop.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_configure.fppized module_data_cam_mam_aero.fppized module_data_cam_mam_asect.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_mp_fast_sbm.fppized): module_mp_fast_sbm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_mp_radar.fppized) $(addsuffix $(OBJ), module_mp_full_sbm.fppized): module_mp_full_sbm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_mp_radar.fppized) $(addsuffix $(OBJ), module_mp_gsfcgce.fppized): module_mp_gsfcgce.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_lin.fppized): module_mp_lin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_milbrandt2mom.fppized): module_mp_milbrandt2mom.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_mp_morr_two_moment.fppized): module_mp_morr_two_moment.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_model_constants.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_radar.fppized): module_mp_radar.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_mp_thompson.fppized): module_mp_thompson.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_mp_radar.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_mp_wdm5.fppized): module_mp_wdm5.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_wdm6.fppized): module_mp_wdm6.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_wsm5.fppized): module_mp_wsm5.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_mp_wsm6.fppized): module_mp_wsm6.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_mp_radar.fppized module_utility.fppized) $(addsuffix $(OBJ), module_nesting.fppized): module_nesting.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_utility.fppized) $(addsuffix $(OBJ), module_optional_input.fppized): module_optional_input.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_io_domain.fppized module_io_wrf.fppized) $(addsuffix $(OBJ), module_pbl_driver.fppized): module_pbl_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_acm.fppized module_bl_boulac.fppized module_bl_camuwpbl_driver.fppized module_bl_fogdes.fppized module_bl_gbmpbl.fppized module_bl_gfs.fppized module_bl_gfs2011.fppized module_bl_gwdo.fppized module_bl_mfshconvpbl.fppized module_bl_mrf.fppized module_bl_myjpbl.fppized module_bl_myjurb.fppized module_bl_mynn.fppized module_bl_qnsepbl.fppized module_bl_qnsepbl09.fppized module_bl_temf.fppized module_bl_ysu.fppized module_model_constants.fppized module_state_description.fppized module_wind_fitch.fppized) $(addsuffix $(OBJ), module_physics_addtendc.fppized): module_physics_addtendc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_cu_kf.fppized module_cu_kfeta.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_physics_init.fppized): module_physics_init.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_acm.fppized module_bl_boulac.fppized module_bl_camuwpbl_driver.fppized module_bl_gbmpbl.fppized module_bl_gfs.fppized module_bl_gfs2011.fppized module_bl_mfshconvpbl.fppized module_bl_mrf.fppized module_bl_myjpbl.fppized module_bl_myjurb.fppized module_bl_mynn.fppized module_bl_qnsepbl.fppized module_bl_qnsepbl09.fppized module_bl_temf.fppized module_bl_ysu.fppized module_cam_constituents.fppized module_cam_esinti.fppized module_cam_mp_modal_aero_initialize_data_phys.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_configure.fppized module_cu_bmj.fppized module_cu_camzm_driver.fppized module_cu_g3.fppized module_cu_gd.fppized module_cu_kf.fppized module_cu_kfeta.fppized module_cu_mesosas.fppized module_cu_nsas.fppized module_cu_osas.fppized module_cu_sas.fppized module_cu_tiedtke.fppized module_data_cam_mam_aero.fppized module_dom ain.fppized module_fdda_psufddagd.fppized module_fdda_spnudging.fppized module_fddaobs_rtfdda.fppized module_llxy.fppized module_model_constants.fppized module_mp_HWRF.fppized module_mp_cammgmp_driver.fppized module_mp_etanew.fppized module_mp_etaold.fppized module_mp_fast_sbm.fppized module_mp_full_sbm.fppized module_mp_milbrandt2mom.fppized module_mp_morr_two_moment.fppized module_mp_nssl_2mom.fppized module_mp_thompson.fppized module_mp_wdm5.fppized module_mp_wdm6.fppized module_mp_wsm3.fppized module_mp_wsm5.fppized module_mp_wsm6.fppized module_ra_HWRF.fppized module_ra_cam.fppized module_ra_cam_support.fppized module_ra_gfdleta.fppized module_ra_gsfcsw.fppized module_ra_hs.fppized module_ra_rrtm.fppized module_ra_sw.fppized module_sf_bep.fppized module_sf_bep_bem.fppized module_sf_clm.fppized module_sf_gfdl.fppized module_sf_lake.fppized module_sf_myjsfc.fppized module_sf_mynn.fppized module_sf_noahdrv.fppized module_sf_noahmpdrv.fppized module_sf_oml.fppized module_sf_pxlsm.fppized module_sf_pxsfcl ay.fppized module_sf_qnsesfc.fppized module_sf_ruclsm.fppized module_sf_sfclay.fppized module_sf_sfclayrev.fppized module_sf_slab.fppized module_sf_temfsfclay.fppized module_sf_urban.fppized module_shcu_camuwshcu.fppized module_shcu_grims.fppized module_state_description.fppized module_wind_fitch.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_polarfft.fppized): module_polarfft.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_model_constants.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_progtm.fppized): module_progtm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_machine.fppized) $(addsuffix $(OBJ), module_quilt_outbuf_ops.fppized): module_quilt_outbuf_ops.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), module_ra_HWRF.fppized): module_ra_HWRF.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_mp_HWRF.fppized) $(addsuffix $(OBJ), module_ra_aerosol.fppized): module_ra_aerosol.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_cam.fppized): module_ra_cam.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_support.fppized module_ra_cam_support.fppized module_ra_clWRF_support.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_cam_support.fppized): module_ra_cam_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_support.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_clWRF_support.fppized): module_ra_clWRF_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_ra_gfdleta.fppized): module_ra_gfdleta.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized module_mp_etanew.fppized) $(addsuffix $(OBJ), module_ra_rrtm.fppized): module_ra_rrtm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_ra_clWRF_support.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_radiation_driver.fppized): module_radiation_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_dm.fppized module_domain.fppized module_model_constants.fppized module_ra_HWRF.fppized module_ra_aerosol.fppized module_ra_cam.fppized module_ra_flg.fppized module_ra_gfdleta.fppized module_ra_goddard.fppized module_ra_gsfcsw.fppized module_ra_hs.fppized module_ra_rrtm.fppized module_ra_sw.fppized module_state_description.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_bep.fppized): module_sf_bep.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_urban.fppized) $(addsuffix $(OBJ), module_sf_bep_bem.fppized): module_sf_bep_bem.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_bem.fppized module_sf_urban.fppized) $(addsuffix $(OBJ), module_sf_clm.fppized): module_sf_clm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_shr_const_mod.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_configure.fppized module_date_time.fppized module_sf_urban.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_fogdes.fppized): module_sf_fogdes.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_mynn.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_gfdl.fppized): module_sf_gfdl.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized) $(addsuffix $(OBJ), module_sf_gfs.fppized): module_sf_gfs.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_gfs_funcphys.fppized module_gfs_machine.fppized module_gfs_physcons.fppized module_progtm.fppized) $(addsuffix $(OBJ), module_sf_lake.fppized): module_sf_lake.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_myjsfc.fppized): module_sf_myjsfc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_mynn.fppized): module_sf_mynn.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bl_mynn.fppized module_model_constants.fppized module_sf_sfclay.fppized) $(addsuffix $(OBJ), module_sf_noah_seaice.fppized): module_sf_noah_seaice.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_noahlsm.fppized) $(addsuffix $(OBJ), module_sf_noah_seaice_drv.fppized): module_sf_noah_seaice_drv.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_noah_seaice.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_sf_noahdrv.fppized): module_sf_noahdrv.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_gocart_dust.fppized module_sf_bep.fppized module_sf_bep_bem.fppized module_sf_noahlsm.fppized module_sf_noahlsm_glacial_only.fppized module_sf_urban.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_noahlsm.fppized): module_sf_noahlsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_noahlsm_glacial_only.fppized): module_sf_noahlsm_glacial_only.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_noahlsm.fppized) $(addsuffix $(OBJ), module_sf_noahmp_groundwater.fppized): module_sf_noahmp_groundwater.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_noahlsm.fppized) $(addsuffix $(OBJ), module_sf_noahmpdrv.fppized): module_sf_noahmpdrv.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_gocart_dust.fppized module_ra_gfdleta.fppized module_sf_noah_seaice.fppized module_sf_noahdrv.fppized module_sf_noahmp_glacier.fppized module_sf_noahmp_groundwater.fppized module_sf_noahmplsm.fppized module_sf_urban.fppized) $(addsuffix $(OBJ), module_sf_noahmplsm.fppized): module_sf_noahmplsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_myjsfc.fppized module_sf_noahlsm.fppized module_sf_sfclay.fppized) $(addsuffix $(OBJ), module_sf_ocean_driver.fppized): module_sf_ocean_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_sf_3dpwp.fppized module_sf_oml.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_sf_pxlsm.fppized): module_sf_pxlsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_model_constants.fppized module_sf_pxlsm_data.fppized) $(addsuffix $(OBJ), module_sf_qnsesfc.fppized): module_sf_qnsesfc.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_sf_ruclsm.fppized): module_sf_ruclsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_data_gocart_dust.fppized module_model_constants.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_sf_sstskin.fppized): module_sf_sstskin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_shallowcu_driver.fppized): module_shallowcu_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_dm.fppized module_domain.fppized module_model_constants.fppized module_shcu_camuwshcu_driver.fppized module_shcu_grims.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_shcu_camuwshcu.fppized): module_shcu_camuwshcu.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_error_function.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_aero.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_shcu_camuwshcu_driver.fppized): module_shcu_camuwshcu_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cam_constituents.fppized module_cam_physconst.fppized module_cam_shr_kind_mod.fppized module_cam_support.fppized module_cam_wv_saturation.fppized module_data_cam_mam_asect.fppized module_mp_cammgmp_driver.fppized module_shcu_camuwshcu.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_small_step_em.fppized): module_small_step_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_soil_pre.fppized): module_soil_pre.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_date_time.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_stoch.fppized): module_stoch.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), module_surface_driver.fppized): module_surface_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_cpl.fppized module_model_constants.fppized module_sf_clm.fppized module_sf_fogdes.fppized module_sf_gfdl.fppized module_sf_gfs.fppized module_sf_idealscmsfclay.fppized module_sf_lake.fppized module_sf_myjsfc.fppized module_sf_mynn.fppized module_sf_noah_seaice_drv.fppized module_sf_noahdrv.fppized module_sf_noahmp_groundwater.fppized module_sf_noahmpdrv.fppized module_sf_ocean_driver.fppized module_sf_pxlsm.fppized module_sf_pxsfclay.fppized module_sf_qnsesfc.fppized module_sf_ruclsm.fppized module_sf_scmflux.fppized module_sf_scmskintemp.fppized module_sf_sfcdiags.fppized module_sf_sfcdiags_ruclsm.fppized module_sf_sfclay.fppized module_sf_sfclayrev.fppized module_sf_slab.fppized module_sf_ssib.fppized module_sf_sstskin.fppized module_sf_temfsfclay.fppized module_sf_tmnupdate.fppized module_state_description.fppized) $(addsuffix $(OBJ), module_symbols_util.fppized): module_symbols_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized) $(addsuffix $(OBJ), module_tiles.fppized): module_tiles.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), module_timing.fppized): module_timing.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), module_utility.fppized): module_utility.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_symbols_util.fppized) $(addsuffix $(OBJ), module_wind_fitch.fppized): module_wind_fitch.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_driver_constants.fppized module_llxy.fppized module_model_constants.fppized) $(addsuffix $(OBJ), module_wps_io_arw.fppized): module_wps_io_arw.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_internal_header_util.fppized module_optional_input.fppized module_soil_pre.fppized) $(addsuffix $(OBJ), module_wrf_top.fppized): module_wrf_top.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_check_a_mundo.fppized module_configure.fppized module_cpl.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_integrate.fppized module_machine.fppized module_nesting.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), ndown_em.fppized): ndown_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_big_step_utilities_em.fppized module_check_a_mundo.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_driver_constants.fppized module_get_file_names.fppized module_initialize_real.fppized module_integrate.fppized module_io_domain.fppized module_machine.fppized module_optional_input.fppized module_soil_pre.fppized module_timing.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), nest_init_utils.fppized): nest_init_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_machine.fppized module_model_constants.fppized module_state_description.fppized module_tiles.fppized) $(addsuffix $(OBJ), nl_get_0_routines.fppized): nl_get_0_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_1_routines.fppized): nl_get_1_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_2_routines.fppized): nl_get_2_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_3_routines.fppized): nl_get_3_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_4_routines.fppized): nl_get_4_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_5_routines.fppized): nl_get_5_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_6_routines.fppized): nl_get_6_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_get_7_routines.fppized): nl_get_7_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_0_routines.fppized): nl_set_0_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_1_routines.fppized): nl_set_1_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_2_routines.fppized): nl_set_2_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_3_routines.fppized): nl_set_3_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_4_routines.fppized): nl_set_4_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_5_routines.fppized): nl_set_5_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_6_routines.fppized): nl_set_6_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nl_set_7_routines.fppized): nl_set_7_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized) $(addsuffix $(OBJ), nup_em.fppized): nup_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_big_step_utilities_em.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_driver_constants.fppized module_get_file_names.fppized module_initialize_real.fppized module_integrate.fppized module_io_domain.fppized module_machine.fppized module_optional_input.fppized module_soil_pre.fppized module_streams.fppized module_timing.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), output_wrf.fppized): output_wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_domain_type.fppized module_io.fppized module_io_wrf.fppized module_model_constants.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), real_em.fppized): real_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_bc_time_utilities.fppized module_big_step_utilities_em.fppized module_check_a_mundo.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_initialize_real.fppized module_io_domain.fppized module_machine.fppized module_optional_input.fppized module_state_description.fppized module_symbols_util.fppized module_timing.fppized module_utility.fppized module_wps_io_arw.fppized) $(addsuffix $(OBJ), set_timekeeping.fppized): set_timekeeping.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_utility.fppized) $(addsuffix $(OBJ), shift_domain_em.fppized): shift_domain_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_comm_dm.fppized module_configure.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_state_description.fppized module_timing.fppized) $(addsuffix $(OBJ), solve_em.fppized): solve_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_after_all_rk_steps.fppized module_avgflx_em.fppized module_bc.fppized module_bc_em.fppized module_big_step_utilities_em.fppized module_comm_dm.fppized module_configure.fppized module_cpl.fppized module_diffusion_em.fppized module_dm.fppized module_domain.fppized module_domain_type.fppized module_driver_constants.fppized module_em.fppized module_fddaobs_driver.fppized module_first_rk_step_part1.fppized module_first_rk_step_part2.fppized module_llxy.fppized module_machine.fppized module_microphysics_driver.fppized module_microphysics_zero_out.fppized module_model_constants.fppized module_physics_addtendc.fppized module_polarfft.fppized module_small_step_em.fppized module_solvedebug_em.fppized module_state_description.fppized module_tiles.fppized module_utility.fppized) $(addsuffix $(OBJ), solve_interface.fppized): solve_interface.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), start_domain.fppized): start_domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_driver_constants.fppized) $(addsuffix $(OBJ), start_em.fppized): start_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_avgflx_em.fppized module_bc.fppized module_bc_em.fppized module_comm_dm.fppized module_configure.fppized module_diag_pld.fppized module_dm.fppized module_domain.fppized module_fr_fire_driver_wrf.fppized module_lightning_driver.fppized module_model_constants.fppized module_physics_init.fppized module_state_description.fppized module_stoch.fppized module_tiles.fppized) $(addsuffix $(OBJ), tc_em.fppized): tc_em.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc.fppized module_bc_time_utilities.fppized module_big_step_utilities_em.fppized module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_driver_constants.fppized module_initialize_real.fppized module_io_domain.fppized module_llxy.fppized module_machine.fppized module_optional_input.fppized module_state_description.fppized module_symbols_util.fppized module_timing.fppized module_utility.fppized) $(addsuffix $(OBJ), track_driver.fppized): track_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_date_time.fppized module_dm.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), track_input.fppized): track_input.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_utility.fppized) $(addsuffix $(OBJ), wrf.fppized): wrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_top.fppized) $(addsuffix $(OBJ), wrf_bdyin.fppized): wrf_bdyin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_bc_time_utilities.fppized module_configure.fppized module_date_time.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized) $(addsuffix $(OBJ), wrf_bdyout.fppized): wrf_bdyout.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_domain.fppized module_io.fppized module_io_wrf.fppized module_state_description.fppized module_utility.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_debug.fppized): wrf_debug.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_ext_read_field.fppized): wrf_ext_read_field.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_io.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_ext_write_field.fppized): wrf_ext_write_field.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_io.fppized module_state_description.fppized module_timing.fppized module_wrf_error.fppized) $(addsuffix $(OBJ), wrf_fddaobs_in.fppized): wrf_fddaobs_in.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_date_time.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized) $(addsuffix $(OBJ), wrf_timeseries.fppized): wrf_timeseries.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_configure.fppized module_dm.fppized module_domain.fppized module_llxy.fppized module_model_constants.fppized module_state_description.fppized) $(addsuffix $(OBJ), wrf_tsin.fppized): wrf_tsin.fppized.f90 $(addsuffix $(OBJ),$(basename ) module_domain.fppized module_utility.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/build/build_peak_x86_64-m64.0000/Makefile.diffwrf_621.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=621 NAME=wrf_s SOURCES= ESMF_Alarm.F90 ESMF_AlarmClock.F90 ESMF_Base.F90 \ ESMF_BaseTime.F90 ESMF_Calendar.F90 ESMF_Clock.F90 ESMF_Mod.F90 \ ESMF_Stubs.F90 ESMF_Time.F90 ESMF_TimeInterval.F90 Meat.F90 \ adapt_timestep_em.F90 c1f2kb.F90 c1f3kb.F90 c1f4kb.F90 c1f5kb.F90 \ c1fgkb.F90 c1fm1b.F90 c_code.c cfft1b.F90 cfft1i.F90 collect_on_comm.c \ couple_or_uncouple_em.F90 data.c diffwrf.F90 field_routines.F90 \ hires_timer.c input_wrf.F90 interp_fcn.F90 io_int.F90 libmassv.F90 \ mediation_wrfmain.F90 misc.c module_alloc_space_0.F90 \ module_alloc_space_1.F90 module_alloc_space_2.F90 \ module_alloc_space_3.F90 module_alloc_space_4.F90 \ module_alloc_space_5.F90 module_alloc_space_6.F90 \ module_alloc_space_7.F90 module_alloc_space_8.F90 \ module_alloc_space_9.F90 module_avgflx_em.F90 module_bc.F90 \ module_bc_em.F90 module_bc_time_utilities.F90 module_bl_acm.F90 \ module_bl_boulac.F90 module_bl_camuwpbl_driver.F90 module_bl_gbmpbl.F90 \ module_bl_gfs.F90 module_bl_gfs2011.F90 module_bl_mfshconvpbl.F90 \ module_bl_mrf.F90 module_bl_myjpbl.F90 module_bl_myjurb.F90 \ module_bl_mynn.F90 module_bl_qnsepbl.F90 module_bl_qnsepbl09.F90 \ module_bl_temf.F90 module_bl_ysu.F90 module_cam_bl_diffusion_solver.F90 \ module_cam_bl_eddy_diff.F90 module_cam_cldwat.F90 \ module_cam_constituents.F90 module_cam_error_function.F90 \ module_cam_esinti.F90 module_cam_gffgch.F90 module_cam_molec_diff.F90 \ module_cam_mp_cldwat2m_micro.F90 module_cam_mp_conv_water.F90 \ module_cam_mp_microp_aero.F90 \ module_cam_mp_modal_aero_initialize_data_phys.F90 \ module_cam_mp_ndrop.F90 module_cam_mp_qneg3.F90 \ module_cam_mp_radconstants.F90 module_cam_physconst.F90 \ module_cam_support.F90 module_cam_trb_mtn_stress.F90 \ module_cam_upper_bc.F90 module_cam_wv_saturation.F90 module_comm_dm.F90 \ module_comm_dm_0.F90 module_comm_dm_1.F90 module_comm_dm_2.F90 \ module_comm_dm_3.F90 module_comm_dm_4.F90 module_comm_nesting_dm.F90 \ module_configure.F90 module_cpl.F90 module_cpl_oasis3.F90 \ module_cu_bmj.F90 module_cu_camzm.F90 module_cu_camzm_driver.F90 \ module_cu_g3.F90 module_cu_gd.F90 module_cu_kf.F90 module_cu_kfeta.F90 \ module_cu_mesosas.F90 module_cu_nsas.F90 module_cu_osas.F90 \ module_cu_sas.F90 module_cu_tiedtke.F90 module_data_cam_mam_aero.F90 \ module_date_time.F90 module_diag_pld.F90 module_dm.F90 module_domain.F90 \ module_domain_type.F90 module_driver_constants.F90 \ module_fdda_psufddagd.F90 module_fdda_spnudging.F90 \ module_fddaobs_rtfdda.F90 module_fr_fire_atm.F90 module_fr_fire_core.F90 \ module_fr_fire_driver.F90 module_fr_fire_driver_wrf.F90 \ module_fr_fire_model.F90 module_fr_fire_phys.F90 module_fr_fire_util.F90 \ module_gfs_funcphys.F90 module_internal_header_util.F90 module_io.F90 \ module_io_domain.F90 module_io_quilt.F90 module_io_wrf.F90 \ module_lightning_driver.F90 module_llxy.F90 module_ltng_cpmpr92z.F90 \ module_ltng_crmpr92.F90 module_ltng_iccg.F90 module_ltng_lpi.F90 \ module_machine.F90 module_model_constants.F90 \ module_mp_cammgmp_driver.F90 module_mp_etanew.F90 module_mp_etaold.F90 \ module_mp_fast_sbm.F90 module_mp_full_sbm.F90 \ module_mp_milbrandt2mom.F90 module_mp_morr_two_moment.F90 \ module_mp_nssl_2mom.F90 module_mp_radar.F90 module_mp_thompson.F90 \ module_mp_wdm5.F90 module_mp_wdm6.F90 module_mp_wsm3.F90 \ module_mp_wsm5.F90 module_mp_wsm6.F90 module_physics_init.F90 \ module_ra_cam.F90 module_ra_cam_support.F90 module_ra_clWRF_support.F90 \ module_ra_gfdleta.F90 module_ra_gsfcsw.F90 module_ra_hs.F90 \ module_ra_rrtm.F90 module_ra_sw.F90 module_sf_bem.F90 module_sf_bep.F90 \ module_sf_bep_bem.F90 module_sf_lake.F90 module_sf_myjsfc.F90 \ module_sf_mynn.F90 module_sf_noah_seaice.F90 module_sf_noahdrv.F90 \ module_sf_noahlsm.F90 module_sf_noahlsm_glacial_only.F90 \ module_sf_noahmp_glacier.F90 module_sf_noahmp_groundwater.F90 \ module_sf_noahmpdrv.F90 module_sf_noahmplsm.F90 module_sf_oml.F90 \ module_sf_pxlsm.F90 module_sf_pxlsm_data.F90 module_sf_pxsfclay.F90 \ module_sf_qnsesfc.F90 module_sf_ruclsm.F90 module_sf_sfclay.F90 \ module_sf_sfclayrev.F90 module_sf_slab.F90 module_sf_temfsfclay.F90 \ module_sf_urban.F90 module_shcu_camuwshcu.F90 module_shcu_grims.F90 \ module_state_description.F90 module_stoch.F90 module_streams.F90 \ module_symbols_util.F90 module_tiles.F90 module_timing.F90 \ module_utility.F90 module_wind_fitch.F90 module_wrf_error.F90 mradb2.F90 \ mradb3.F90 mradb4.F90 mradb5.F90 mradbg.F90 mradf2.F90 mradf3.F90 \ mradf4.F90 mradf5.F90 mradfg.F90 mrftb1.F90 mrftf1.F90 mrfti1.F90 \ my_strtok.c netcdf/attr.c netcdf/dim.c netcdf/error.c \ netcdf/fort-attio.c netcdf/fort-control.c netcdf/fort-dim.c \ netcdf/fort-genatt.c netcdf/fort-geninq.c netcdf/fort-genvar.c \ netcdf/fort-lib.c netcdf/fort-misc.c netcdf/fort-varaio.c \ netcdf/libvers.c netcdf/nc.c netcdf/ncio.c netcdf/ncx.c netcdf/putget.c \ netcdf/string.c netcdf/v1hpg.c netcdf/v2i.c netcdf/var.c \ nl_get_0_routines.F90 nl_get_1_routines.F90 nl_get_2_routines.F90 \ nl_get_3_routines.F90 nl_get_4_routines.F90 nl_get_5_routines.F90 \ nl_get_6_routines.F90 nl_get_7_routines.F90 nl_set_0_routines.F90 \ nl_set_1_routines.F90 nl_set_2_routines.F90 nl_set_7_routines.F90 \ output_wrf.F90 pack_utils.c period.c r4_factor.F90 r4_mcfti1.F90 \ r4_tables.F90 reg_parse.c rfftmb.F90 rfftmf.F90 rfftmi.F90 rsl_bcast.c \ sint.F90 start_domain.F90 start_em.F90 symtab_gen.c task_for_point.c \ track_driver.F90 track_input.F90 type.c wrf_bdyin.F90 wrf_bdyout.F90 \ wrf_debug.F90 wrf_ext_read_field.F90 wrf_ext_write_field.F90 wrf_io.F90 \ wrf_num_bytes_between.c wrf_timeseries.F90 wrf_tsin.F90 xercon.F90 \ xerfft.F90 EXEBASE=diffwrf_621 NEED_MATH= BENCHLANG=F C BENCH_CFLAGS = -DSPEC_AUTO_BYTEORDER=0x12345678 BENCH_FLAGS = -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI BENCH_FPPFLAGS = -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CPORTABILITY = -DSPEC_CASE_FLAG CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v FPORTABILITY = -fconvert=big-endian OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = wrf_s basepeak = 0 benchdir = benchspec benchmark = 621.wrf_s bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = wrf_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = 1 configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = wrf_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 621 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/521.wrf_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = diffwrf_output_01.txt Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/build/build_peak_x86_64-m64.0000/Makefile.wrf_s.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=621 NAME=wrf_s SOURCES= ESMF_Alarm.F90 ESMF_AlarmClock.F90 ESMF_Base.F90 \ ESMF_BaseTime.F90 ESMF_Calendar.F90 ESMF_Clock.F90 ESMF_Fraction.F90 \ ESMF_Mod.F90 ESMF_Stubs.F90 ESMF_Time.F90 ESMF_TimeInterval.F90 Meat.F90 \ adapt_timestep_em.F90 alloc_2d.c apply_bitmap.c bobrand.c c1f2kb.F90 \ c1f2kf.F90 c1f3kb.F90 c1f3kf.F90 c1f4kb.F90 c1f4kf.F90 c1f5kb.F90 \ c1f5kf.F90 c1fgkb.F90 c1fgkf.F90 c1fm1b.F90 c1fm1f.F90 c_code.c \ cfft1b.F90 cfft1i.F90 cfftmb.F90 cfftmf.F90 cfftmi.F90 cmf2kb.F90 \ cmf2kf.F90 cmf3kb.F90 cmf3kf.F90 cmf4kb.F90 cmf4kf.F90 cmf5kb.F90 \ cmf5kf.F90 cmfgkb.F90 cmfgkf.F90 cmfm1b.F90 cmfm1f.F90 collect_on_comm.c \ cosq1b.F90 cosq1f.F90 cosq1i.F90 cosqb1.F90 cosqf1.F90 cosqmb.F90 \ cosqmf.F90 cosqmi.F90 couple_or_uncouple_em.F90 d1f2kb.F90 d1f2kf.F90 \ d1f3kb.F90 d1f3kf.F90 d1f4kb.F90 d1f4kf.F90 d1f5kb.F90 d1f5kf.F90 \ d1fgkb.F90 d1fgkf.F90 data.c dfft1b.F90 dfft1f.F90 dfft1i.F90 dfftb1.F90 \ dfftf1.F90 dffti1.F90 dfi.F90 field_routines.F90 flt2ieee.c gbyte.c \ get_region_center.c grib1_routines.c grib_dec.c grib_enc.c grib_seek.c \ grib_uthin.c gribgetbds.c gribgetbms.c gribgetgds.c gribgetpds.c \ gribhdr2file.c gribmap.c gribputbds.c gribputgds.c gribputpds.c \ gridnav.c hires_timer.c init_dec_struct.c init_gribhdr.c \ init_modules.F90 init_modules_em.F90 input_wrf.F90 interp_fcn.F90 \ io_int.F90 io_int_idx.c landread.c ld_dec_lookup.c ld_grib_origctrs.c \ libmassv.F90 mcsqb1.F90 mcsqf1.F90 mediation_feedback_domain.F90 \ mediation_force_domain.F90 mediation_integrate.F90 \ mediation_interp_domain.F90 mediation_wrfmain.F90 misc.c \ module_advect_em.F90 module_after_all_rk_steps.F90 \ module_alloc_space_0.F90 module_alloc_space_1.F90 \ module_alloc_space_2.F90 module_alloc_space_3.F90 \ module_alloc_space_4.F90 module_alloc_space_5.F90 \ module_alloc_space_6.F90 module_alloc_space_7.F90 \ module_alloc_space_8.F90 module_alloc_space_9.F90 module_avgflx_em.F90 \ module_bc.F90 module_bc_em.F90 module_bc_time_utilities.F90 \ module_big_step_utilities_em.F90 module_bl_acm.F90 module_bl_boulac.F90 \ module_bl_camuwpbl_driver.F90 module_bl_fogdes.F90 module_bl_gbmpbl.F90 \ module_bl_gfs.F90 module_bl_gfs2011.F90 module_bl_gwdo.F90 \ module_bl_mfshconvpbl.F90 module_bl_mrf.F90 module_bl_myjpbl.F90 \ module_bl_myjurb.F90 module_bl_mynn.F90 module_bl_qnsepbl.F90 \ module_bl_qnsepbl09.F90 module_bl_temf.F90 module_bl_ysu.F90 \ module_cam_bl_diffusion_solver.F90 module_cam_bl_eddy_diff.F90 \ module_cam_cldwat.F90 module_cam_constituents.F90 \ module_cam_error_function.F90 module_cam_esinti.F90 \ module_cam_gffgch.F90 module_cam_molec_diff.F90 \ module_cam_mp_cldwat2m_micro.F90 module_cam_mp_conv_water.F90 \ module_cam_mp_microp_aero.F90 \ module_cam_mp_modal_aero_initialize_data_phys.F90 \ module_cam_mp_ndrop.F90 module_cam_mp_qneg3.F90 \ module_cam_mp_radconstants.F90 module_cam_physconst.F90 \ module_cam_shr_const_mod.F90 module_cam_shr_kind_mod.F90 \ module_cam_support.F90 module_cam_trb_mtn_stress.F90 \ module_cam_upper_bc.F90 module_cam_wv_saturation.F90 \ module_check_a_mundo.F90 module_comm_dm.F90 module_comm_dm_0.F90 \ module_comm_dm_1.F90 module_comm_dm_2.F90 module_comm_dm_3.F90 \ module_comm_dm_4.F90 module_comm_nesting_dm.F90 module_configure.F90 \ module_convtrans_prep.F90 module_cpl.F90 module_cpl_oasis3.F90 \ module_cu_bmj.F90 module_cu_camzm.F90 module_cu_camzm_driver.F90 \ module_cu_g3.F90 module_cu_gd.F90 module_cu_gf.F90 module_cu_kf.F90 \ module_cu_kfeta.F90 module_cu_mesosas.F90 module_cu_nsas.F90 \ module_cu_osas.F90 module_cu_sas.F90 module_cu_tiedtke.F90 \ module_cumulus_driver.F90 module_damping_em.F90 \ module_data_cam_mam_aero.F90 module_data_cam_mam_asect.F90 \ module_data_gocart_dust.F90 module_date_time.F90 module_diag_afwa.F90 \ module_diag_afwa_hail.F90 module_diag_cl.F90 module_diag_misc.F90 \ module_diag_pld.F90 module_diagnostics_driver.F90 \ module_diffusion_em.F90 module_dm.F90 module_domain.F90 \ module_domain_type.F90 module_driver_constants.F90 module_em.F90 \ module_fdda_psufddagd.F90 module_fdda_spnudging.F90 \ module_fddagd_driver.F90 module_fddaobs_driver.F90 \ module_fddaobs_rtfdda.F90 module_first_rk_step_part1.F90 \ module_first_rk_step_part2.F90 module_force_scm.F90 \ module_fr_fire_atm.F90 module_fr_fire_core.F90 module_fr_fire_driver.F90 \ module_fr_fire_driver_wrf.F90 module_fr_fire_model.F90 \ module_fr_fire_phys.F90 module_fr_fire_util.F90 module_gfs_funcphys.F90 \ module_gfs_machine.F90 module_gfs_physcons.F90 module_init_utilities.F90 \ module_integrate.F90 module_intermediate_nmm.F90 \ module_internal_header_util.F90 module_interp_store.F90 module_io.F90 \ module_io_domain.F90 module_io_quilt.F90 module_io_wrf.F90 \ module_lightning_driver.F90 module_llxy.F90 module_ltng_cpmpr92z.F90 \ module_ltng_crmpr92.F90 module_ltng_iccg.F90 module_ltng_lpi.F90 \ module_machine.F90 module_microphysics_driver.F90 \ module_microphysics_zero_out.F90 module_mixactivate.F90 \ module_model_constants.F90 module_mp_HWRF.F90 \ module_mp_cammgmp_driver.F90 module_mp_etanew.F90 module_mp_etaold.F90 \ module_mp_fast_sbm.F90 module_mp_full_sbm.F90 module_mp_gsfcgce.F90 \ module_mp_kessler.F90 module_mp_lin.F90 module_mp_milbrandt2mom.F90 \ module_mp_morr_two_moment.F90 module_mp_nssl_2mom.F90 \ module_mp_radar.F90 module_mp_sbu_ylin.F90 module_mp_thompson.F90 \ module_mp_wdm5.F90 module_mp_wdm6.F90 module_mp_wsm3.F90 \ module_mp_wsm5.F90 module_mp_wsm6.F90 module_nesting.F90 \ module_optional_input.F90 module_pbl_driver.F90 \ module_physics_addtendc.F90 module_physics_init.F90 module_polarfft.F90 \ module_progtm.F90 module_quilt_outbuf_ops.F90 module_ra_HWRF.F90 \ module_ra_aerosol.F90 module_ra_cam.F90 module_ra_cam_support.F90 \ module_ra_clWRF_support.F90 module_ra_flg.F90 module_ra_gfdleta.F90 \ module_ra_goddard.F90 module_ra_gsfcsw.F90 module_ra_hs.F90 \ module_ra_rrtm.F90 module_ra_sw.F90 module_radiation_driver.F90 \ module_sf_3dpwp.F90 module_sf_bem.F90 module_sf_bep.F90 \ module_sf_bep_bem.F90 module_sf_clm.F90 module_sf_fogdes.F90 \ module_sf_gfdl.F90 module_sf_gfs.F90 module_sf_idealscmsfclay.F90 \ module_sf_lake.F90 module_sf_myjsfc.F90 module_sf_mynn.F90 \ module_sf_noah_seaice.F90 module_sf_noah_seaice_drv.F90 \ module_sf_noahdrv.F90 module_sf_noahlsm.F90 \ module_sf_noahlsm_glacial_only.F90 module_sf_noahmp_glacier.F90 \ module_sf_noahmp_groundwater.F90 module_sf_noahmpdrv.F90 \ module_sf_noahmplsm.F90 module_sf_ocean_driver.F90 module_sf_oml.F90 \ module_sf_pxlsm.F90 module_sf_pxlsm_data.F90 module_sf_pxsfclay.F90 \ module_sf_qnsesfc.F90 module_sf_ruclsm.F90 module_sf_scmflux.F90 \ module_sf_scmskintemp.F90 module_sf_sfcdiags.F90 \ module_sf_sfcdiags_ruclsm.F90 module_sf_sfclay.F90 \ module_sf_sfclayrev.F90 module_sf_slab.F90 module_sf_ssib.F90 \ module_sf_sstskin.F90 module_sf_temfsfclay.F90 module_sf_tmnupdate.F90 \ module_sf_urban.F90 module_shallowcu_driver.F90 \ module_shcu_camuwshcu.F90 module_shcu_camuwshcu_driver.F90 \ module_shcu_grims.F90 module_small_step_em.F90 module_soil_pre.F90 \ module_solvedebug_em.F90 module_state_description.F90 module_stoch.F90 \ module_streams.F90 module_surface_driver.F90 module_symbols_util.F90 \ module_tiles.F90 module_timing.F90 module_utility.F90 \ module_wind_fitch.F90 module_wrf_error.F90 module_wrf_top.F90 mradb2.F90 \ mradb3.F90 mradb4.F90 mradb5.F90 mradbg.F90 mradf2.F90 mradf3.F90 \ mradf4.F90 mradf5.F90 mradfg.F90 mrftb1.F90 mrftf1.F90 mrfti1.F90 \ msntb1.F90 msntf1.F90 my_strtok.c nest_init_utils.F90 netcdf/attr.c \ netcdf/dim.c netcdf/error.c netcdf/fort-attio.c netcdf/fort-control.c \ netcdf/fort-dim.c netcdf/fort-genatt.c netcdf/fort-geninq.c \ netcdf/fort-genvar.c netcdf/fort-lib.c netcdf/fort-misc.c \ netcdf/fort-varaio.c netcdf/libvers.c netcdf/nc.c netcdf/ncio.c \ netcdf/ncx.c netcdf/putget.c netcdf/string.c netcdf/v1hpg.c netcdf/v2i.c \ netcdf/var.c nl_get_0_routines.F90 nl_get_1_routines.F90 \ nl_get_2_routines.F90 nl_get_3_routines.F90 nl_get_4_routines.F90 \ nl_get_5_routines.F90 nl_get_6_routines.F90 nl_get_7_routines.F90 \ nl_set_0_routines.F90 nl_set_1_routines.F90 nl_set_2_routines.F90 \ nl_set_6_routines.F90 nl_set_7_routines.F90 output_wrf.F90 \ pack_spatial.c pack_utils.c period.c r1f2kb.F90 r1f2kf.F90 r1f3kb.F90 \ r1f3kf.F90 r1f4kb.F90 r1f4kf.F90 r1f5kb.F90 r1f5kf.F90 r1fgkb.F90 \ r1fgkf.F90 r4_factor.F90 r4_mcfti1.F90 r4_tables.F90 r8_factor.F90 \ r8_mcfti1.F90 r8_tables.F90 read_grib.c reg_parse.c rfft1b.F90 \ rfft1f.F90 rfft1i.F90 rfftb1.F90 rfftf1.F90 rffti1.F90 rfftmb.F90 \ rfftmf.F90 rfftmi.F90 rsl_bcast.c set_bytes.c set_timekeeping.F90 \ setfeenv.c sint.F90 solve_em.F90 solve_interface.F90 start_domain.F90 \ start_em.F90 symtab_gen.c task_for_point.c track_driver.F90 \ track_input.F90 trim.c type.c upd_child_errmsg.c wrf.F90 wrf_bdyin.F90 \ wrf_bdyout.F90 wrf_debug.F90 wrf_ext_read_field.F90 \ wrf_ext_write_field.F90 wrf_fddaobs_in.F90 wrf_io.F90 \ wrf_num_bytes_between.c wrf_shutdown.F90 wrf_timeseries.F90 wrf_tsin.F90 \ xercon.F90 xerfft.F90 z1f2kb.F90 z1f2kf.F90 z1f3kb.F90 z1f3kf.F90 \ z1f4kb.F90 z1f4kf.F90 z1f5kb.F90 z1f5kf.F90 z1fgkb.F90 z1fgkf.F90 \ z1fm1b.F90 z1fm1f.F90 zmf2kb.F90 zmf2kf.F90 zmf3kb.F90 zmf3kf.F90 \ zmf4kb.F90 zmf4kf.F90 zmf5kb.F90 zmf5kf.F90 zmfgkb.F90 zmfgkf.F90 EXEBASE=wrf_s NEED_MATH= BENCHLANG=F C BENCH_CFLAGS = -DSPEC_AUTO_BYTEORDER=0x12345678 BENCH_FLAGS = -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI BENCH_FPPFLAGS = -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CPORTABILITY = -DSPEC_CASE_FLAG CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v FPORTABILITY = -fconvert=big-endian OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = wrf_s basepeak = 0 benchdir = benchspec benchmark = 621.wrf_s bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = wrf_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = 1 configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = wrf_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 621 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/521.wrf_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = diffwrf_output_01.txt Compile for '621.wrf_s' started at: 2021-07-08 15:18:37 (1625771917) Issuing make.clean.diffwrf_621 command 'specmake --output-sync --jobs=1 clean TARGET=diffwrf_621' Start make.clean.diffwrf_621 command: 2021-07-08 15:18:37 (1625771917.30933) Stop make.clean.diffwrf_621 command: 2021-07-08 15:18:37 (1625771917.47451) Elapsed time for make.clean.diffwrf_621 command: 00:00:00 (0.165179014205933) Issuing make.clean.wrf_s command 'specmake --output-sync --jobs=1 clean TARGET=wrf_s' Start make.clean.wrf_s command: 2021-07-08 15:18:37 (1625771917.47782) Stop make.clean.wrf_s command: 2021-07-08 15:18:37 (1625771917.65008) Elapsed time for make.clean.wrf_s command: 00:00:00 (0.172264099121094) Issuing make.diffwrf_621 command 'specmake --output-sync --jobs=1 build TARGET=diffwrf_621' Start make.diffwrf_621 command: 2021-07-08 15:18:37 (1625771917.65391) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Alarm.F90 -o ESMF_Alarm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Base.F90 -o ESMF_Base.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Base.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_Base.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Time.F90 -o ESMF_Time.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_BaseTime.F90 -o ESMF_BaseTime.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_BaseTime.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_BaseTime.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Calendar.F90 -o ESMF_Calendar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Calendar.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_Calendar.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Stubs.F90 -o ESMF_Stubs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Stubs.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_Stubs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_TimeInterval.F90 -o ESMF_TimeInterval.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Fraction.F90 -o ESMF_Fraction.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Fraction.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_Fraction.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_TimeInterval.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_TimeInterval.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Time.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_Time.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Alarm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_Alarm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_AlarmClock.F90 -o ESMF_AlarmClock.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Clock.F90 -o ESMF_Clock.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Clock.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_Clock.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_AlarmClock.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_AlarmClock.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Mod.F90 -o ESMF_Mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Mod.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_Mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 Meat.F90 -o Meat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Meat.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp Meat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 adapt_timestep_em.F90 -o adapt_timestep_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bc_em.F90 -o module_bc_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bc.F90 -o module_bc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_configure.F90 -o module_configure.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_domain_type.F90 -o module_domain_type.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_driver_constants.F90 -o module_driver_constants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_driver_constants.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_driver_constants.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_streams.F90 -o module_streams.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_streams.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_streams.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_utility.F90 -o module_utility.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_symbols_util.F90 -o module_symbols_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_symbols_util.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_symbols_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_utility.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_utility.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_domain_type.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_domain_type.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_state_description.F90 -o module_state_description.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_state_description.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_state_description.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_wrf_error.F90 -o module_wrf_error.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_wrf_error.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_wrf_error.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_configure.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_configure.fppized.f90 module_configure.fppized.f90:11932:25: 11932 | CALL wrf_mem_copy( model_config_rec, buffer, nbytes ) | 1 ...... 11952 | CALL wrf_mem_copy( buffer, model_config_rec, nbytes ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (TYPE(model_config_rec_type)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_model_constants.F90 -o module_model_constants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_model_constants.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_model_constants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bc.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bc_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bc_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_dm.F90 -o module_dm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_dm.F90 -o module_comm_dm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_dm_0.F90 -o module_comm_dm_0.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_domain.F90 -o module_domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_0.F90 -o module_alloc_space_0.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_0.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_alloc_space_0.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_1.F90 -o module_alloc_space_1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_alloc_space_1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_2.F90 -o module_alloc_space_2.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_2.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_alloc_space_2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_3.F90 -o module_alloc_space_3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_3.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_alloc_space_3.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_4.F90 -o module_alloc_space_4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_4.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_alloc_space_4.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_5.F90 -o module_alloc_space_5.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_5.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_alloc_space_5.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_6.F90 -o module_alloc_space_6.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_6.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_alloc_space_6.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_7.F90 -o module_alloc_space_7.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_7.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_alloc_space_7.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_8.F90 -o module_alloc_space_8.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_8.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_alloc_space_8.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_alloc_space_9.F90 -o module_alloc_space_9.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_alloc_space_9.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_alloc_space_9.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_machine.F90 -o module_machine.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_machine.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_machine.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_domain.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_domain.fppized.f90 module_domain.fppized.f90:1158:97: 1158 | CALL wrf_error_fatal('Did you really type > max_hst_mods fields into ', TRIM(fname) ,' ?') | 1 Warning: More actual than formal arguments in procedure call at (1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_dm_0.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_comm_dm_0.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_dm_1.F90 -o module_comm_dm_1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_dm_1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_comm_dm_1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_dm_2.F90 -o module_comm_dm_2.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_dm_2.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_comm_dm_2.fppized.f90 module_comm_dm_2.fppized.f90:3573:1: 3540 | grid%zwtxy, 1,& | 2 ...... 3573 | grid%isltyp, 1,& | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_dm_3.F90 -o module_comm_dm_3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_dm_3.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_comm_dm_3.fppized.f90 module_comm_dm_3.fppized.f90:1300:1: 1300 | grid%kpbl, 2,& | 1 ...... 4133 | grid%wup_mask, 1,& | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_dm_4.F90 -o module_comm_dm_4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_dm_4.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_comm_dm_4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_dm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_comm_dm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_comm_nesting_dm.F90 -o module_comm_nesting_dm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_comm_nesting_dm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_comm_nesting_dm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cpl.F90 -o module_cpl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cpl_oasis3.F90 -o module_cpl_oasis3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cpl_oasis3.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cpl_oasis3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cpl.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cpl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_timing.F90 -o module_timing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_timing.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_timing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_dm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_dm.fppized.f90 module_dm.fppized.f90:1267:43: 1267 | CALL wrf_dm_bcast_bytes ( BUF , N1 * 4 ) | 1 Warning: Type mismatch in argument ‘buf’ at (1); passed INTEGER(4) to INTEGER(1) module_dm.fppized.f90:1279:43: 1279 | CALL wrf_dm_bcast_bytes ( BUF , N1 * 8 ) | 1 Warning: Type mismatch in argument ‘buf’ at (1); passed REAL(4) to INTEGER(1) module_dm.fppized.f90:1287:43: 1287 | CALL wrf_dm_bcast_bytes ( BUF , N1 * 4 ) | 1 Warning: Type mismatch in argument ‘buf’ at (1); passed REAL(4) to INTEGER(1) module_dm.fppized.f90:1295:43: 1295 | CALL wrf_dm_bcast_bytes ( BUF , N1 * 4 ) | 1 Warning: Type mismatch in argument ‘buf’ at (1); passed LOGICAL(4) to INTEGER(1) module_dm.fppized.f90:1718:66: 1718 | PS1,PE1,PS2,PE2,PS3,PE3 ) | 1 Warning: Type mismatch in argument ‘buf’ at (1); passed INTEGER(4) to REAL(4) module_dm.fppized.f90:1740:66: 1740 | PS1,PE1,PS2,PE2,PS3,PE3 ) | 1 Warning: Type mismatch in argument ‘buf’ at (1); passed LOGICAL(4) to REAL(4) module_dm.fppized.f90:2019:64: 2019 | PS1,PE1,PS2,PE2,PS3,PE3 ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed INTEGER(4) to REAL(4) module_dm.fppized.f90:2039:64: 2039 | PS1,PE1,PS2,PE2,PS3,PE3 ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed LOGICAL(4) to REAL(4) module_dm.fppized.f90:4993:18: 4842 | ngrid%u_2, & ! ND field | 2 ...... 4993 | ngrid%moist(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:5020:18: 4842 | ngrid%u_2, & ! ND field | 2 ...... 5020 | ngrid%dfi_moist(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:5047:18: 4842 | ngrid%u_2, & ! ND field | 2 ...... 5047 | ngrid%scalar(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:5074:18: 4842 | ngrid%u_2, & ! ND field | 2 ...... 5074 | ngrid%dfi_scalar(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:5117:18: 5100 | ngrid%o3rad, & ! ND field | 2 ...... 5117 | ngrid%aerod(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:5341:18: 4842 | ngrid%u_2, & ! ND field | 2 ...... 5341 | ngrid%tracer(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:10932:18: 10495 | ngrid%xlat, & ! ND field | 2 ...... 10932 | ngrid%moist(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:10950:18: 10495 | ngrid%xlat, & ! ND field | 2 ...... 10950 | ngrid%dfi_moist(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:10984:18: 10495 | ngrid%xlat, & ! ND field | 2 ...... 10984 | ngrid%scalar(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:11002:18: 10495 | ngrid%xlat, & ! ND field | 2 ...... 11002 | ngrid%dfi_scalar(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:11364:18: 11136 | grid%urb_param, & ! CD field | 2 ...... 11364 | grid%ivgtyp, & ! CD field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_dm.fppized.f90:11883:18: 11867 | grid%frc_urb2d, & ! CD field | 2 ...... 11883 | grid%utype_urb2d, & ! CD field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_dm.fppized.f90:12112:18: 10495 | ngrid%xlat, & ! ND field | 2 ...... 12112 | ngrid%aerod(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:13810:18: 11080 | grid%shdmax, & ! CD field | 2 ...... 13810 | grid%isnowxy, & ! CD field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_dm.fppized.f90:15618:18: 15238 | grid%tsk_mosaic, & ! CD field | 2 ...... 15618 | grid%mosaic_cat_index, & ! CD field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_dm.fppized.f90:18573:18: 10495 | ngrid%xlat, & ! ND field | 2 ...... 18573 | ngrid%tracer(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:19879:18: 19574 | ngrid%u_2, & ! ND field | 2 ...... 19879 | ngrid%moist(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:19897:18: 19574 | ngrid%u_2, & ! ND field | 2 ...... 19897 | ngrid%dfi_moist(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:19931:18: 19574 | ngrid%u_2, & ! ND field | 2 ...... 19931 | ngrid%scalar(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:19949:18: 19574 | ngrid%u_2, & ! ND field | 2 ...... 19949 | ngrid%dfi_scalar(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:20218:18: 20074 | grid%urb_param, & ! CD field | 2 ...... 20218 | grid%ivgtyp, & ! CD field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_dm.fppized.f90:20586:18: 19522 | grid%xlat, & ! CD field | 2 ...... 20586 | grid%utype_urb2d, & ! CD field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_dm.fppized.f90:25983:18: 19574 | ngrid%u_2, & ! ND field | 2 ...... 25983 | ngrid%tracer(ngrid%sm31,ngrid%sm32,ngrid%sm33,itrace), & ! ND field | 1 Warning: Element of assumed-shape or pointer array as actual argument at (1) cannot correspond to actual argument at (2) module_dm.fppized.f90:31528:61: 31528 | CALL wrf_dm_gatherv_double(v, elemsize , km_s, km_e) | 1 Warning: Type mismatch in argument ‘v’ at (1); passed REAL(4) to REAL(8) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o adapt_timestep_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp adapt_timestep_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f2kb.F90 -o c1f2kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f2kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp c1f2kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f3kb.F90 -o c1f3kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f3kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp c1f3kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f4kb.F90 -o c1f4kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f4kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp c1f4kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f5kb.F90 -o c1f5kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f5kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp c1f5kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1fgkb.F90 -o c1fgkb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1fgkb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp c1fgkb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1fm1b.F90 -o c1fm1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1fm1b.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp c1fm1b.fppized.f90 c1fm1b.fppized.f90:75:33: 73 | call c1f2kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 74 | else if ( nbr == 2 ) then 75 | call c1f2kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1b.fppized.f90:79:33: 77 | call c1f3kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 78 | else if ( nbr == 4 ) then 79 | call c1f3kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1b.fppized.f90:83:33: 81 | call c1f4kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 82 | else if ( nbr == 6 ) then 83 | call c1f4kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1b.fppized.f90:87:33: 85 | call c1f5kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 86 | else if ( nbr == 8 ) then 87 | call c1f5kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1b.fppized.f90:91:42: 89 | call c1fgkb ( ido, ip, l1, lid, na, c, c, inc2, ch, ch, 2, wa(iw) ) | 2 90 | else if ( nbr == 10 ) then 91 | call c1fgkb ( ido, ip, l1, lid, na, ch, ch, 2, c, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o c_code.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 c_code.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cfft1b.F90 -o cfft1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfft1b.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cfft1b.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cfft1i.F90 -o cfft1i.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfft1i.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cfft1i.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o collect_on_comm.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 collect_on_comm.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 couple_or_uncouple_em.F90 -o couple_or_uncouple_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_tiles.F90 -o module_tiles.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_tiles.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_tiles.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o couple_or_uncouple_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp couple_or_uncouple_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o data.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 data.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 diffwrf.F90 -o diffwrf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_io.F90 -o wrf_io.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_io.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp wrf_io.fppized.f90 wrf_io.fppized.f90:7415:58: 3123 | stat = NF_PUT_ATT_INT (DH%NCID,NF_GLOBAL,Element,NF_INT,Count,Data) | 2 ...... 7415 | stat = NF_PUT_ATT_INT(NCID,VarID,'FieldType',NF_INT,1,FieldType) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) wrf_io.fppized.f90:7477:71: 7477 | ,i1,i2,j1,j2,k1,k2 ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to REAL(8) wrf_io.fppized.f90:7616:49: 2465 | stat = NF_GET_ATT_INT (DH%NCID,NF_GLOBAL,Element,Buffer) | 2 ...... 7616 | stat = NF_GET_ATT_INT(NCID,VarID,'FieldType',FType) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) wrf_io.fppized.f90:8076:52: 2465 | stat = NF_GET_ATT_INT (DH%NCID,NF_GLOBAL,Element,Buffer) | 2 ...... 8076 | stat = NF_GET_ATT_INT(DH%NCID,VarID,'FieldType',WrfType) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diffwrf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp diffwrf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 field_routines.F90 -o field_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o field_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp field_routines.fppized.f90 field_routines.fppized.f90:156:52: 108 | stat = NF_PUT_VARA_INT(NCID,VarID,VStart,VCount,Data) | 2 ...... 156 | stat = NF_PUT_VARA_INT(NCID,VarID,VStart,VCount,Buffer) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) field_routines.fppized.f90:158:52: 110 | stat = NF_GET_VARA_INT(NCID,VarID,VStart,VCount,Data) | 2 ...... 158 | stat = NF_GET_VARA_INT(NCID,VarID,VStart,VCount,Buffer) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o hires_timer.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 hires_timer.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 input_wrf.F90 -o input_wrf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bc_time_utilities.F90 -o module_bc_time_utilities.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bc_time_utilities.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bc_time_utilities.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_date_time.F90 -o module_date_time.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_date_time.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_date_time.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_io.F90 -o module_io.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_io.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_io.fppized.f90 module_io.fppized.f90:20133:23: 20133 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to REAL(8) module_io.fppized.f90:20143:23: 20143 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to REAL(4) module_io.fppized.f90:20163:23: 20163 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to LOGICAL(4) module_io.fppized.f90:20393:45: 20393 | Status ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed LOGICAL(4) to REAL(4) module_io.fppized.f90:20278:45: 20278 | Status ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed INTEGER(4) to REAL(4) module_io.fppized.f90:20336:45: 20336 | Status ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed REAL(8) to REAL(4) module_io.fppized.f90:20601:23: 20601 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to REAL(8) module_io.fppized.f90:20611:23: 20611 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to REAL(4) module_io.fppized.f90:20631:23: 20631 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed INTEGER(4) to LOGICAL(4) module_io.fppized.f90:20871:45: 20871 | Status ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed LOGICAL(4) to REAL(4) module_io.fppized.f90:21080:31: 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 ...... 21080 | CALL wrf_dm_bcast_bytes( Field , 8*memsize ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). module_io.fppized.f90:20814:45: 20814 | Status ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed INTEGER(4) to REAL(4) module_io.fppized.f90:20757:45: 20757 | Status ) | 1 Warning: Type mismatch in argument ‘globbuf’ at (1); passed REAL(8) to REAL(4) module_io.fppized.f90:15831:78: 15831 | CALL ext_ncd_put_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 16056 | CALL ext_ncd_put_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:15847:78: 15847 | CALL ext_int_put_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 16072 | CALL ext_int_put_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:15864:73: 15864 | CALL wrf_quilt_put_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 16089 | CALL wrf_quilt_put_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:15379:78: 15379 | CALL ext_ncd_get_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 15604 | CALL ext_ncd_get_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:15395:78: 15395 | CALL ext_int_get_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 15620 | CALL ext_int_get_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:15412:73: 15412 | CALL wrf_quilt_get_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 15637 | CALL wrf_quilt_get_var_td_logical ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14927:78: 14927 | CALL ext_ncd_put_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 15152 | CALL ext_ncd_put_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14943:78: 14943 | CALL ext_int_put_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 15168 | CALL ext_int_put_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14960:73: 14960 | CALL wrf_quilt_put_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 15185 | CALL wrf_quilt_put_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14475:78: 14475 | CALL ext_ncd_get_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 14700 | CALL ext_ncd_get_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14480:35: 14480 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14491:78: 14491 | CALL ext_int_get_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 14716 | CALL ext_int_get_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14496:36: 14496 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14508:73: 14508 | CALL wrf_quilt_get_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 14733 | CALL wrf_quilt_get_var_td_integer ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14023:77: 14023 | CALL ext_ncd_put_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 14248 | CALL ext_ncd_put_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14039:77: 14039 | CALL ext_int_put_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 14264 | CALL ext_int_put_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:14056:72: 14056 | CALL wrf_quilt_put_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 14281 | CALL wrf_quilt_put_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:13571:77: 13571 | CALL ext_ncd_get_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 13796 | CALL ext_ncd_get_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:13587:77: 13587 | CALL ext_int_get_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 13812 | CALL ext_int_get_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:13604:72: 13604 | CALL wrf_quilt_get_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 13829 | CALL wrf_quilt_get_var_td_double ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:13119:75: 13119 | CALL ext_ncd_put_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 13344 | CALL ext_ncd_put_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:13135:75: 13135 | CALL ext_int_put_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 13360 | CALL ext_int_put_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:13152:70: 13152 | CALL wrf_quilt_put_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 13377 | CALL wrf_quilt_put_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:12667:75: 12667 | CALL ext_ncd_get_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 12892 | CALL ext_ncd_get_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:12683:75: 12683 | CALL ext_int_get_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 12908 | CALL ext_int_get_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:12700:70: 12700 | CALL wrf_quilt_get_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 1 ...... 12925 | CALL wrf_quilt_get_var_td_real ( Hndl, Element, DateStr, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:11760:70: 11760 | CALL ext_ncd_put_var_ti_logical ( Hndl, Element, Varname, Data, & | 1 ...... 11985 | CALL ext_ncd_put_var_ti_logical ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:11776:70: 11776 | CALL ext_int_put_var_ti_logical ( Hndl, Element, Varname, Data, & | 1 ...... 12001 | CALL ext_int_put_var_ti_logical ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:11793:65: 11793 | CALL wrf_quilt_put_var_ti_logical ( Hndl, Element, Varname, Data, & | 1 ...... 12018 | CALL wrf_quilt_put_var_ti_logical ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:11308:70: 11308 | CALL ext_ncd_get_var_ti_logical ( Hndl, Element, Varname, Data, & | 1 ...... 11533 | CALL ext_ncd_get_var_ti_logical ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:11324:70: 11324 | CALL ext_int_get_var_ti_logical ( Hndl, Element, Varname, Data, & | 1 ...... 11549 | CALL ext_int_get_var_ti_logical ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:11341:65: 11341 | CALL wrf_quilt_get_var_ti_logical ( Hndl, Element, Varname, Data, & | 1 ...... 11566 | CALL wrf_quilt_get_var_ti_logical ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10856:70: 10856 | CALL ext_ncd_put_var_ti_integer ( Hndl, Element, Varname, Data, & | 1 ...... 11081 | CALL ext_ncd_put_var_ti_integer ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10872:70: 10872 | CALL ext_int_put_var_ti_integer ( Hndl, Element, Varname, Data, & | 1 ...... 11097 | CALL ext_int_put_var_ti_integer ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10889:65: 10889 | CALL wrf_quilt_put_var_ti_integer ( Hndl, Element, Varname, Data, & | 1 ...... 11114 | CALL wrf_quilt_put_var_ti_integer ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10404:70: 10404 | CALL ext_ncd_get_var_ti_integer ( Hndl, Element, Varname, Data, & | 1 ...... 10629 | CALL ext_ncd_get_var_ti_integer ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10409:35: 10409 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10420:70: 10420 | CALL ext_int_get_var_ti_integer ( Hndl, Element, Varname, Data, & | 1 ...... 10645 | CALL ext_int_get_var_ti_integer ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10425:36: 10425 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:10437:65: 10437 | CALL wrf_quilt_get_var_ti_integer ( Hndl, Element, Varname, Data, & | 1 ...... 10662 | CALL wrf_quilt_get_var_ti_integer ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9952:69: 9952 | CALL ext_ncd_put_var_ti_double ( Hndl, Element, Varname, Data, & | 1 ...... 10177 | CALL ext_ncd_put_var_ti_double ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9968:69: 9968 | CALL ext_int_put_var_ti_double ( Hndl, Element, Varname, Data, & | 1 ...... 10193 | CALL ext_int_put_var_ti_double ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9985:64: 9985 | CALL wrf_quilt_put_var_ti_double ( Hndl, Element, Varname, Data, & | 1 ...... 10210 | CALL wrf_quilt_put_var_ti_double ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9500:69: 9500 | CALL ext_ncd_get_var_ti_double ( Hndl, Element, Varname, Data, & | 1 ...... 9725 | CALL ext_ncd_get_var_ti_double ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9516:69: 9516 | CALL ext_int_get_var_ti_double ( Hndl, Element, Varname, Data, & | 1 ...... 9741 | CALL ext_int_get_var_ti_double ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9533:64: 9533 | CALL wrf_quilt_get_var_ti_double ( Hndl, Element, Varname, Data, & | 1 ...... 9758 | CALL wrf_quilt_get_var_ti_double ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9048:67: 9048 | CALL ext_ncd_put_var_ti_real ( Hndl, Element, Varname, Data, & | 1 ...... 9273 | CALL ext_ncd_put_var_ti_real ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9064:67: 9064 | CALL ext_int_put_var_ti_real ( Hndl, Element, Varname, Data, & | 1 ...... 9289 | CALL ext_int_put_var_ti_real ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:9081:62: 9081 | CALL wrf_quilt_put_var_ti_real ( Hndl, Element, Varname, Data, & | 1 ...... 9306 | CALL wrf_quilt_put_var_ti_real ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:8596:67: 8596 | CALL ext_ncd_get_var_ti_real ( Hndl, Element, Varname, Data, & | 1 ...... 8821 | CALL ext_ncd_get_var_ti_real ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:8612:67: 8612 | CALL ext_int_get_var_ti_real ( Hndl, Element, Varname, Data, & | 1 ...... 8837 | CALL ext_int_get_var_ti_real ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:8629:62: 8629 | CALL wrf_quilt_get_var_ti_real ( Hndl, Element, Varname, Data, & | 1 ...... 8854 | CALL wrf_quilt_get_var_ti_real ( Hndl, Element, Varname, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:7689:70: 7689 | CALL ext_ncd_put_dom_td_logical ( Hndl, Element, DateStr, Data, & | 1 ...... 7914 | CALL ext_ncd_put_dom_td_logical ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:7705:70: 7705 | CALL ext_int_put_dom_td_logical ( Hndl, Element, DateStr, Data, & | 1 ...... 7930 | CALL ext_int_put_dom_td_logical ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:7722:65: 7722 | CALL wrf_quilt_put_dom_td_logical ( Hndl, Element, DateStr, Data, & | 1 ...... 7947 | CALL wrf_quilt_put_dom_td_logical ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:7237:70: 7237 | CALL ext_ncd_get_dom_td_logical ( Hndl, Element, DateStr, Data, & | 1 ...... 7462 | CALL ext_ncd_get_dom_td_logical ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:7253:70: 7253 | CALL ext_int_get_dom_td_logical ( Hndl, Element, DateStr, Data, & | 1 ...... 7478 | CALL ext_int_get_dom_td_logical ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:7270:65: 7270 | CALL wrf_quilt_get_dom_td_logical ( Hndl, Element, DateStr, Data, & | 1 ...... 7495 | CALL wrf_quilt_get_dom_td_logical ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6785:70: 6785 | CALL ext_ncd_put_dom_td_integer ( Hndl, Element, DateStr, Data, & | 1 ...... 7010 | CALL ext_ncd_put_dom_td_integer ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6801:70: 6801 | CALL ext_int_put_dom_td_integer ( Hndl, Element, DateStr, Data, & | 1 ...... 7026 | CALL ext_int_put_dom_td_integer ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6818:65: 6818 | CALL wrf_quilt_put_dom_td_integer ( Hndl, Element, DateStr, Data, & | 1 ...... 7043 | CALL wrf_quilt_put_dom_td_integer ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6333:70: 6333 | CALL ext_ncd_get_dom_td_integer ( Hndl, Element, DateStr, Data, & | 1 ...... 6558 | CALL ext_ncd_get_dom_td_integer ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6338:35: 6338 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6349:70: 6349 | CALL ext_int_get_dom_td_integer ( Hndl, Element, DateStr, Data, & | 1 ...... 6574 | CALL ext_int_get_dom_td_integer ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6354:36: 6354 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:6366:65: 6366 | CALL wrf_quilt_get_dom_td_integer ( Hndl, Element, DateStr, Data, & | 1 ...... 6591 | CALL wrf_quilt_get_dom_td_integer ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5881:69: 5881 | CALL ext_ncd_put_dom_td_double ( Hndl, Element, DateStr, Data, & | 1 ...... 6106 | CALL ext_ncd_put_dom_td_double ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5897:69: 5897 | CALL ext_int_put_dom_td_double ( Hndl, Element, DateStr, Data, & | 1 ...... 6122 | CALL ext_int_put_dom_td_double ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5914:64: 5914 | CALL wrf_quilt_put_dom_td_double ( Hndl, Element, DateStr, Data, & | 1 ...... 6139 | CALL wrf_quilt_put_dom_td_double ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5429:69: 5429 | CALL ext_ncd_get_dom_td_double ( Hndl, Element, DateStr, Data, & | 1 ...... 5654 | CALL ext_ncd_get_dom_td_double ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5445:69: 5445 | CALL ext_int_get_dom_td_double ( Hndl, Element, DateStr, Data, & | 1 ...... 5670 | CALL ext_int_get_dom_td_double ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5462:64: 5462 | CALL wrf_quilt_get_dom_td_double ( Hndl, Element, DateStr, Data, & | 1 ...... 5687 | CALL wrf_quilt_get_dom_td_double ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:4977:67: 4977 | CALL ext_ncd_put_dom_td_real ( Hndl, Element, DateStr, Data, & | 1 ...... 5202 | CALL ext_ncd_put_dom_td_real ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:4993:67: 4993 | CALL ext_int_put_dom_td_real ( Hndl, Element, DateStr, Data, & | 1 ...... 5218 | CALL ext_int_put_dom_td_real ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:5010:62: 5010 | CALL wrf_quilt_put_dom_td_real ( Hndl, Element, DateStr, Data, & | 1 ...... 5235 | CALL wrf_quilt_put_dom_td_real ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:4525:67: 4525 | CALL ext_ncd_get_dom_td_real ( Hndl, Element, DateStr, Data, & | 1 ...... 4750 | CALL ext_ncd_get_dom_td_real ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:4541:67: 4541 | CALL ext_int_get_dom_td_real ( Hndl, Element, DateStr, Data, & | 1 ...... 4766 | CALL ext_int_get_dom_td_real ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:4558:62: 4558 | CALL wrf_quilt_get_dom_td_real ( Hndl, Element, DateStr, Data, & | 1 ...... 4783 | CALL wrf_quilt_get_dom_td_real ( Hndl, Element, DateStr, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:3618:62: 3618 | CALL ext_ncd_put_dom_ti_logical ( Hndl, Element, Data, & | 1 ...... 3843 | CALL ext_ncd_put_dom_ti_logical ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:3634:62: 3634 | CALL ext_int_put_dom_ti_logical ( Hndl, Element, Data, & | 1 ...... 3859 | CALL ext_int_put_dom_ti_logical ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:3651:57: 3651 | CALL wrf_quilt_put_dom_ti_logical ( Hndl, Element, Data, & | 1 ...... 3876 | CALL wrf_quilt_put_dom_ti_logical ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:3166:62: 3166 | CALL ext_ncd_get_dom_ti_logical ( Hndl, Element, Data, & | 1 ...... 3391 | CALL ext_ncd_get_dom_ti_logical ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:3182:62: 3182 | CALL ext_int_get_dom_ti_logical ( Hndl, Element, Data, & | 1 ...... 3407 | CALL ext_int_get_dom_ti_logical ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:3199:57: 3199 | CALL wrf_quilt_get_dom_ti_logical ( Hndl, Element, Data, & | 1 ...... 3424 | CALL wrf_quilt_get_dom_ti_logical ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2714:62: 2714 | CALL ext_ncd_put_dom_ti_integer ( Hndl, Element, Data, & | 1 ...... 2939 | CALL ext_ncd_put_dom_ti_integer ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2730:62: 2730 | CALL ext_int_put_dom_ti_integer ( Hndl, Element, Data, & | 1 ...... 2955 | CALL ext_int_put_dom_ti_integer ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2747:57: 2747 | CALL wrf_quilt_put_dom_ti_integer ( Hndl, Element, Data, & | 1 ...... 2972 | CALL wrf_quilt_put_dom_ti_integer ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2262:62: 2262 | CALL ext_ncd_get_dom_ti_integer ( Hndl, Element, Data, & | 1 ...... 2487 | CALL ext_ncd_get_dom_ti_integer ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2267:35: 2267 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2278:62: 2278 | CALL ext_int_get_dom_ti_integer ( Hndl, Element, Data, & | 1 ...... 2503 | CALL ext_int_get_dom_ti_integer ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2283:36: 2283 | CALL wrf_dm_bcast_bytes( Data, 4*locCount ) | 1 ...... 20525 | CALL wrf_dm_bcast_bytes( Status , 4 ) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:2295:57: 2295 | CALL wrf_quilt_get_dom_ti_integer ( Hndl, Element, Data, & | 1 ...... 2520 | CALL wrf_quilt_get_dom_ti_integer ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:1810:61: 1810 | CALL ext_ncd_put_dom_ti_double ( Hndl, Element, Data, & | 1 ...... 2035 | CALL ext_ncd_put_dom_ti_double ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:1826:61: 1826 | CALL ext_int_put_dom_ti_double ( Hndl, Element, Data, & | 1 ...... 2051 | CALL ext_int_put_dom_ti_double ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:1843:56: 1843 | CALL wrf_quilt_put_dom_ti_double ( Hndl, Element, Data, & | 1 ...... 2068 | CALL wrf_quilt_put_dom_ti_double ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:1358:61: 1358 | CALL ext_ncd_get_dom_ti_double ( Hndl, Element, Data, & | 1 ...... 1583 | CALL ext_ncd_get_dom_ti_double ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:1374:61: 1374 | CALL ext_int_get_dom_ti_double ( Hndl, Element, Data, & | 1 ...... 1599 | CALL ext_int_get_dom_ti_double ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:1391:56: 1391 | CALL wrf_quilt_get_dom_ti_double ( Hndl, Element, Data, & | 1 ...... 1616 | CALL wrf_quilt_get_dom_ti_double ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:906:59: 906 | CALL ext_ncd_put_dom_ti_real ( Hndl, Element, Data, & | 1 ...... 1131 | CALL ext_ncd_put_dom_ti_real ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:922:59: 922 | CALL ext_int_put_dom_ti_real ( Hndl, Element, Data, & | 1 ...... 1147 | CALL ext_int_put_dom_ti_real ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:939:54: 939 | CALL wrf_quilt_put_dom_ti_real ( Hndl, Element, Data, & | 1 ...... 1164 | CALL wrf_quilt_put_dom_ti_real ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:454:59: 454 | CALL ext_ncd_get_dom_ti_real ( Hndl, Element, Data, & | 1 ...... 679 | CALL ext_ncd_get_dom_ti_real ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:470:59: 470 | CALL ext_int_get_dom_ti_real ( Hndl, Element, Data, & | 1 ...... 695 | CALL ext_int_get_dom_ti_real ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:487:54: 487 | CALL wrf_quilt_get_dom_ti_real ( Hndl, Element, Data, & | 1 ...... 712 | CALL wrf_quilt_get_dom_ti_real ( Hndl, Element, Data, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_io.fppized.f90:19326:35: 19326 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed LOGICAL(4) to INTEGER(4) module_io.fppized.f90:19749:36: 19749 | Status ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed LOGICAL(4) to INTEGER(4) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_io_wrf.F90 -o module_io_wrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_io_wrf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_io_wrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o input_wrf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp input_wrf.fppized.f90 input_wrf.fppized.f90:1027:36: 1009 | p%rfield_0d , & ! Field | 2 ...... 1027 | p%dfield_0d , & ! Field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/REAL(4)). input_wrf.fppized.f90:1108:39: 1009 | p%rfield_0d , & ! Field | 2 ...... 1108 | f_vint_1d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) input_wrf.fppized.f90:1132:39: 1009 | p%rfield_0d , & ! Field | 2 ...... 1132 | p%rfield_1d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) input_wrf.fppized.f90:1219:36: 1009 | p%rfield_0d , & ! Field | 2 ...... 1219 | p%rfield_2d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) input_wrf.fppized.f90:1320:39: 1009 | p%rfield_0d , & ! Field | 2 ...... 1320 | f_vint_3d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) input_wrf.fppized.f90:1347:39: 1009 | p%rfield_0d , & ! Field | 2 ...... 1347 | p%rfield_3d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) input_wrf.fppized.f90:1484:36: 1433 | f_vint_4d , & ! Field | 2 ...... 1484 | p%dfield_4d , & ! Field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/REAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 interp_fcn.F90 -o interp_fcn.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_interp_store.F90 -o module_interp_store.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_interp_store.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_interp_store.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o interp_fcn.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp interp_fcn.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 io_int.F90 -o io_int.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_internal_header_util.F90 -o module_internal_header_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_internal_header_util.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_internal_header_util.fppized.f90 module_internal_header_util.fppized.f90:1717:39: 1717 | DataHandle, Data, Count, code ) | 1 ...... 1779 | DataHandle, Data, Count, code ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_internal_header_util.fppized.f90:1592:39: 1592 | DataHandle, Data, Count, code ) | 1 ...... 1654 | DataHandle, Data, Count, code ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_int.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp io_int.fppized.f90 io_int.fppized.f90:1737:94: 1737 | CALL ifieldread( DataHandle, Field, MemoryStart, MemoryEnd, PatchStart, PatchEnd ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed REAL(4) to INTEGER(4) io_int.fppized.f90:1843:89: 1843 | CALL ifieldwrite( DataHandle, Field, MemoryStart, MemoryEnd, PatchStart, PatchEnd ) | 1 Warning: Type mismatch in argument ‘field’ at (1); passed REAL(4) to INTEGER(4) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 libmassv.F90 -o libmassv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o libmassv.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp libmassv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mediation_wrfmain.F90 -o mediation_wrfmain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_io_domain.F90 -o module_io_domain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_io_domain.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_io_domain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mediation_wrfmain.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mediation_wrfmain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o misc.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 misc.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_avgflx_em.F90 -o module_avgflx_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_avgflx_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_avgflx_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_acm.F90 -o module_bl_acm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_acm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_acm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_boulac.F90 -o module_bl_boulac.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_boulac.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_boulac.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_camuwpbl_driver.F90 -o module_bl_camuwpbl_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_bl_diffusion_solver.F90 -o module_cam_bl_diffusion_solver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_support.F90 -o module_cam_support.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_shr_kind_mod.F90 -o module_cam_shr_kind_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_shr_kind_mod.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_shr_kind_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_support.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_bl_diffusion_solver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_bl_diffusion_solver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_bl_eddy_diff.F90 -o module_cam_bl_eddy_diff.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_bl_eddy_diff.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_bl_eddy_diff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_constituents.F90 -o module_cam_constituents.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_physconst.F90 -o module_cam_physconst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_shr_const_mod.F90 -o module_cam_shr_const_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_shr_const_mod.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_shr_const_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_physconst.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_physconst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_constituents.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_constituents.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_molec_diff.F90 -o module_cam_molec_diff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_upper_bc.F90 -o module_cam_upper_bc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_upper_bc.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_upper_bc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_molec_diff.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_molec_diff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_trb_mtn_stress.F90 -o module_cam_trb_mtn_stress.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_trb_mtn_stress.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_trb_mtn_stress.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_wv_saturation.F90 -o module_cam_wv_saturation.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_gffgch.F90 -o module_cam_gffgch.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_gffgch.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_gffgch.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_wv_saturation.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_wv_saturation.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_data_cam_mam_aero.F90 -o module_data_cam_mam_aero.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_radconstants.F90 -o module_cam_mp_radconstants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_radconstants.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_mp_radconstants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_data_cam_mam_aero.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_data_cam_mam_aero.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_camuwpbl_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_camuwpbl_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_gbmpbl.F90 -o module_bl_gbmpbl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_gbmpbl.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_gbmpbl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_gfs.F90 -o module_bl_gfs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_gfs_machine.F90 -o module_gfs_machine.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_gfs_machine.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_gfs_machine.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_gfs_physcons.F90 -o module_gfs_physcons.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_gfs_physcons.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_gfs_physcons.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_gfs.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_gfs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_gfs2011.F90 -o module_bl_gfs2011.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_gfs2011.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_gfs2011.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_mfshconvpbl.F90 -o module_bl_mfshconvpbl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_mfshconvpbl.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_mfshconvpbl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_mrf.F90 -o module_bl_mrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_mrf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_mrf.fppized.f90 module_bl_mrf.fppized.f90:509:23: 509 | DO 24 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 24 at (1) module_bl_mrf.fppized.f90:519:23: 519 | DO 30 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 30 at (1) module_bl_mrf.fppized.f90:540:23: 540 | DO 50 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 50 at (1) module_bl_mrf.fppized.f90:556:23: 556 | DO 70 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 70 at (1) module_bl_mrf.fppized.f90:593:75: 593 | DO 110 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 110 at (1) module_bl_mrf.fppized.f90:598:24: 598 | DO 120 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 120 at (1) module_bl_mrf.fppized.f90:604:24: 604 | DO 130 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 130 at (1) module_bl_mrf.fppized.f90:626:24: 626 | DO 230 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 230 at (1) module_bl_mrf.fppized.f90:632:24: 632 | DO 240 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_bl_mrf.fppized.f90:1213:24: 1213 | DO 820 I=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 820 at (1) module_bl_mrf.fppized.f90:1241:81: 1241 | DO 830 I=IBGN,IEND | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 830 at (1) module_bl_mrf.fppized.f90:1248:81: 1248 | DO 840 I=IBGN,IEND | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 840 at (1) module_bl_mrf.fppized.f90:1255:26: 1255 | DO 850 I=IBGN,IEND | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 850 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_myjpbl.F90 -o module_bl_myjpbl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_myjpbl.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_myjpbl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_myjurb.F90 -o module_bl_myjurb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_myjurb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_myjurb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_mynn.F90 -o module_bl_mynn.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_mynn.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_mynn.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_qnsepbl.F90 -o module_bl_qnsepbl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_qnsepbl.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_qnsepbl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_qnsepbl09.F90 -o module_bl_qnsepbl09.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_qnsepbl09.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_qnsepbl09.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_temf.F90 -o module_bl_temf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_temf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_temf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_ysu.F90 -o module_bl_ysu.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_ysu.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_ysu.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_cldwat.F90 -o module_cam_cldwat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_cldwat.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_cldwat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_error_function.F90 -o module_cam_error_function.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_error_function.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_error_function.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_esinti.F90 -o module_cam_esinti.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_esinti.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_esinti.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_cldwat2m_micro.F90 -o module_cam_mp_cldwat2m_micro.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_cldwat2m_micro.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_mp_cldwat2m_micro.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_conv_water.F90 -o module_cam_mp_conv_water.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_conv_water.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_mp_conv_water.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_microp_aero.F90 -o module_cam_mp_microp_aero.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_ndrop.F90 -o module_cam_mp_ndrop.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_ndrop.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_mp_ndrop.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_microp_aero.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_mp_microp_aero.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_modal_aero_initialize_data_phys.F90 -o module_cam_mp_modal_aero_initialize_data_phys.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_modal_aero_initialize_data_phys.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_mp_modal_aero_initialize_data_phys.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cam_mp_qneg3.F90 -o module_cam_mp_qneg3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cam_mp_qneg3.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cam_mp_qneg3.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_bmj.F90 -o module_cu_bmj.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_bmj.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cu_bmj.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_camzm.F90 -o module_cu_camzm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_camzm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cu_camzm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_camzm_driver.F90 -o module_cu_camzm_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_data_cam_mam_asect.F90 -o module_data_cam_mam_asect.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_data_cam_mam_asect.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_data_cam_mam_asect.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_cammgmp_driver.F90 -o module_mp_cammgmp_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_cammgmp_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_cammgmp_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_camzm_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cu_camzm_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_g3.F90 -o module_cu_g3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_g3.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cu_g3.fppized.f90 module_cu_g3.fppized.f90:2466:23: 2466 | DO 100 i=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_cu_g3.fppized.f90:4066:24: 4066 | DO 100 i=its,itf | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_cu_g3.fppized.f90:4287:24: 4287 | DO 100 i=its,itf | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_gd.F90 -o module_cu_gd.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_gd.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cu_gd.fppized.f90 module_cu_gd.fppized.f90:2108:23: 2108 | DO 100 i=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_cu_gd.fppized.f90:3792:24: 3792 | DO 100 i=its,itf | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_cu_gd.fppized.f90:4014:24: 4014 | DO 100 i=its,itf | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_kf.F90 -o module_cu_kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cu_kf.fppized.f90 module_cu_kf.fppized.f90:532:72: 532 | 63 IF(DPTHMX.GT.6.E3)GOTO 64 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 63 at (1) module_cu_kf.fppized.f90:552:62: 552 | 17 PMIX=PMIX+DP(NK)*P0(NK) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 17 at (1) module_cu_kf.fppized.f90:936:60: 936 | 70 PPTICE(NK)=0. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 70 at (1) module_cu_kf.fppized.f90:957:53: 957 | 71 DPTT=DPTT+DP(NJ) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 71 at (1) module_cu_kf.fppized.f90:1063:51: 1063 | 95 OMG(NK)=0. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 95 at (1) module_cu_kf.fppized.f90:1083:71: 1083 | 100 OMG(NK)=0. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 100 at (1) module_cu_kf.fppized.f90:1289:73: 1289 | 135 THTAD(ND)=TZ(ND)*(P00/P0(ND))**(0.2854*(1.-0.28*QD(ND))) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 135 at (1) module_cu_kf.fppized.f90:1310:52: 1310 | 117 QD(NDK)=0. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 117 at (1) module_cu_kf.fppized.f90:1330:62: 1330 | 132 PPR=PPR+PPTLIQ(NM)+PPTICE(NM) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 132 at (1) module_cu_kf.fppized.f90:1392:61: 1392 | 155 DETIC(NK)=DETIC(NK)*UPDINC | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 155 at (1) module_cu_kf.fppized.f90:1502:67: 1502 | 493 QFXBOT(NK)=0. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 493 at (1) module_cu_kf.fppized.f90:1599:57: 1599 | 217 PMIX=PMIX+DP(NK)*P0(NK) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 217 at (1) module_cu_kf.fppized.f90:1635:65: 1635 | 235 IF(PLCL.GE.P0(NK))GOTO 240 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 235 at (1) module_cu_kf.fppized.f90:1674:68: 1674 | 245 IF(BE.GT.0.)ABEG=ABEG+BE*G | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 245 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_kfeta.F90 -o module_cu_kfeta.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_kfeta.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cu_kfeta.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_mesosas.F90 -o module_cu_mesosas.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_gfs_funcphys.F90 -o module_gfs_funcphys.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_gfs_funcphys.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_gfs_funcphys.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_mesosas.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cu_mesosas.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_nsas.F90 -o module_cu_nsas.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_nsas.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cu_nsas.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_osas.F90 -o module_cu_osas.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_osas.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cu_osas.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_sas.F90 -o module_cu_sas.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_sas.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cu_sas.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_tiedtke.F90 -o module_cu_tiedtke.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_tiedtke.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cu_tiedtke.fppized.f90 module_cu_tiedtke.fppized.f90:612:20: 612 | DO 10 j=1,lq | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 10 at (1) module_cu_tiedtke.fppized.f90:649:18: 649 | DO 20 j=1,lq | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 20 at (1) module_cu_tiedtke.fppized.f90:672:20: 672 | DO 75 j=1,lq | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 75 at (1) module_cu_tiedtke.fppized.f90:682:23: 682 | DO 100 j=1,lq | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_cu_tiedtke.fppized.f90:932:22: 932 | DO 420 JL=1,KLON | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 420 at (1) module_cu_tiedtke.fppized.f90:966:25: 966 | DO 450 JL = 1, KLON | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 450 at (1) module_cu_tiedtke.fppized.f90:1020:22: 1020 | DO 490 JL=1,KLON | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 490 at (1) module_cu_tiedtke.fppized.f90:1142:24: 1142 | DO 530 JL=1,KLON | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 530 at (1) module_cu_tiedtke.fppized.f90:1442:22: 1442 | DO 200 JL=1,KLON | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 200 at (1) module_cu_tiedtke.fppized.f90:1992:22: 1992 | DO 230 JL=1,KLON | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 230 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diag_pld.F90 -o module_diag_pld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diag_pld.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_diag_pld.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fdda_psufddagd.F90 -o module_fdda_psufddagd.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fdda_psufddagd.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_fdda_psufddagd.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fdda_spnudging.F90 -o module_fdda_spnudging.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fdda_spnudging.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_fdda_spnudging.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fddaobs_rtfdda.F90 -o module_fddaobs_rtfdda.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fddaobs_rtfdda.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_fddaobs_rtfdda.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_atm.F90 -o module_fr_fire_atm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_util.F90 -o module_fr_fire_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_util.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_fr_fire_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_atm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_fr_fire_atm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_core.F90 -o module_fr_fire_core.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_phys.F90 -o module_fr_fire_phys.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_phys.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_fr_fire_phys.fppized.f90 module_fr_fire_phys.fppized.f90:180:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 180 | call wrf_dm_bcast_real(windrf, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:181:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 181 | call wrf_dm_bcast_real(fgi, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:182:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 182 | call wrf_dm_bcast_real(fueldepthm,nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:183:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 183 | call wrf_dm_bcast_real(savr, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:184:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 184 | call wrf_dm_bcast_real(fuelmce, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:185:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 185 | call wrf_dm_bcast_real(fueldens, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:186:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 186 | call wrf_dm_bcast_real(st, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:187:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 187 | call wrf_dm_bcast_real(se, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:188:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 188 | call wrf_dm_bcast_real(weight, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:189:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 189 | call wrf_dm_bcast_real(fci_d, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:190:23: 174 | call wrf_dm_bcast_real(cmbcnst,1) | 2 ...... 190 | call wrf_dm_bcast_real(fct, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) module_fr_fire_phys.fppized.f90:191:26: 178 | call wrf_dm_bcast_integer(nfuelcats,1) | 2 ...... 191 | call wrf_dm_bcast_integer(ichap, nfuelcats) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_core.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_fr_fire_core.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_driver.F90 -o module_fr_fire_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_model.F90 -o module_fr_fire_model.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_model.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_fr_fire_model.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_fr_fire_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fr_fire_driver_wrf.F90 -o module_fr_fire_driver_wrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fr_fire_driver_wrf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_fr_fire_driver_wrf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_io_quilt.F90 -o module_io_quilt.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_quilt_outbuf_ops.F90 -o module_quilt_outbuf_ops.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_quilt_outbuf_ops.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_quilt_outbuf_ops.fppized.f90 module_quilt_outbuf_ops.fppized.f90:165:33: 147 | outbuf_table(ii)%rptr(ds1:de1,ds2:de2,ds3:de3), & | 2 ...... 165 | outbuf_table(ii)%iptr(ds1:de1,ds2:de2,ds3:de3), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_quilt_outbuf_ops.fppized.f90:204:33: 185 | outbuf_table(ii)%rptr(ds1:de1,ds2:de2,ds3:de3), & | 2 ...... 204 | outbuf_table(ii)%iptr(ds1:de1,ds2:de2,ds3:de3), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_io_quilt.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_io_quilt.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_lightning_driver.F90 -o module_lightning_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ltng_cpmpr92z.F90 -o module_ltng_cpmpr92z.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ltng_cpmpr92z.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ltng_cpmpr92z.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ltng_crmpr92.F90 -o module_ltng_crmpr92.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ltng_crmpr92.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ltng_crmpr92.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ltng_iccg.F90 -o module_ltng_iccg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ltng_iccg.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ltng_iccg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ltng_lpi.F90 -o module_ltng_lpi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ltng_lpi.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ltng_lpi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_lightning_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_lightning_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_llxy.F90 -o module_llxy.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_llxy.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_llxy.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_etanew.F90 -o module_mp_etanew.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_etanew.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_etanew.fppized.f90 module_mp_etanew.fppized.f90:374:26: 374 | DO 98 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 98 at (1) module_mp_etanew.fppized.f90:390:26: 390 | DO 100 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_mp_etanew.fppized.f90:536:26: 536 | DO 101 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_mp_etanew.fppized.f90:2249:34: 2223 | CALL wrf_dm_bcast_bytes ( etampnew_unit1 , 4 ) | 2 ...... 2249 | CALL wrf_dm_bcast_bytes ( VENTR1 , size ( VENTR1 ) * 4 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_etaold.F90 -o module_mp_etaold.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_etaold.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_etaold.fppized.f90 module_mp_etaold.fppized.f90:369:26: 369 | DO 98 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 98 at (1) module_mp_etaold.fppized.f90:385:26: 385 | DO 100 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_mp_etaold.fppized.f90:531:26: 531 | DO 101 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_mp_etaold.fppized.f90:2207:34: 2181 | CALL wrf_dm_bcast_bytes ( etampnew_unit1 , 4 ) | 2 ...... 2207 | CALL wrf_dm_bcast_bytes ( VENTR1 , size ( VENTR1 ) * 4 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_fast_sbm.F90 -o module_mp_fast_sbm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_radar.F90 -o module_mp_radar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_radar.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_radar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_fast_sbm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_fast_sbm.fppized.f90 module_mp_fast_sbm.fppized.f90:3765:25: 3765 | DO 1 ICE=1,ICEMAX | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_fast_sbm.fppized.f90:3839:25: 3839 | DO 1 ICE=1,ICEMAX | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_fast_sbm.fppized.f90:3896:25: 3896 | DO 1 ICE=1,ICEMAX | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_fast_sbm.fppized.f90:5793:26: 5793 | DO 1 KR=1,NKR | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_fast_sbm.fppized.f90:7891:29: 7891 | do 12 kr2=1,nkr | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 12 at (1) module_mp_fast_sbm.fppized.f90:2161:33: 2129 | CALL wrf_dm_bcast_bytes ( hujisbm_unit1 , 4 ) | 2 ...... 2161 | CALL wrf_dm_bcast_bytes (PKIJ , size ( PKIJ ) * 8 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_full_sbm.F90 -o module_mp_full_sbm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_full_sbm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_full_sbm.fppized.f90 module_mp_full_sbm.fppized.f90:4235:25: 4235 | DO 1 ICE=1,ICEMAX | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_full_sbm.fppized.f90:4309:25: 4309 | DO 1 ICE=1,ICEMAX | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_full_sbm.fppized.f90:4365:25: 4365 | DO 1 ICE=1,ICEMAX | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_full_sbm.fppized.f90:6275:26: 6275 | DO 1 KR=1,NKR | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_mp_full_sbm.fppized.f90:8379:29: 8379 | do 12 kr2=1,nkr | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 12 at (1) module_mp_full_sbm.fppized.f90:2631:33: 2599 | CALL wrf_dm_bcast_bytes ( hujisbm_unit1 , 4 ) | 2 ...... 2631 | CALL wrf_dm_bcast_bytes (PKIJ , size ( PKIJ ) * 8 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_milbrandt2mom.F90 -o module_mp_milbrandt2mom.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_milbrandt2mom.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_milbrandt2mom.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_morr_two_moment.F90 -o module_mp_morr_two_moment.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_morr_two_moment.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_morr_two_moment.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_nssl_2mom.F90 -o module_mp_nssl_2mom.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_nssl_2mom.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_nssl_2mom.fppized.f90 module_mp_nssl_2mom.fppized.f90:1972:24: 1972 | 10 GA=GA*K | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 10 at (1) module_mp_nssl_2mom.fppized.f90:1982:26: 1982 | 15 R=R*(Z-K) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 15 at (1) module_mp_nssl_2mom.fppized.f90:2001:26: 2001 | 20 GR=GR*Z+G(K) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 20 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_thompson.F90 -o module_mp_thompson.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_thompson.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_thompson.fppized.f90 module_mp_thompson.fppized.f90:4202:30: 4187 | CALL wrf_dm_bcast_bytes ( iunit_mp_th1 , 4 ) | 2 ...... 4202 | CALL wrf_dm_bcast_bytes(tnccn_act, size(tnccn_act)*R4SIZE) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_wdm5.F90 -o module_mp_wdm5.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_wdm5.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_wdm5.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_wdm6.F90 -o module_mp_wdm6.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_wdm6.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_wdm6.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_wsm3.F90 -o module_mp_wsm3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_wsm3.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_wsm3.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_wsm5.F90 -o module_mp_wsm5.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_wsm5.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_wsm5.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_wsm6.F90 -o module_mp_wsm6.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_wsm6.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_wsm6.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_physics_init.F90 -o module_physics_init.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_HWRF.F90 -o module_mp_HWRF.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_HWRF.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_HWRF.fppized.f90 module_mp_HWRF.fppized.f90:423:26: 423 | DO 98 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 98 at (1) module_mp_HWRF.fppized.f90:439:26: 439 | DO 100 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_mp_HWRF.fppized.f90:592:26: 592 | DO 101 I=ITS,ITE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_mp_HWRF.fppized.f90:2306:34: 2279 | CALL wrf_dm_bcast_bytes ( etampnew_unit1 , 4 ) | 2 ...... 2306 | CALL wrf_dm_bcast_bytes ( VENTR1 , size ( VENTR1 ) * 4 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_HWRF.F90 -o module_ra_HWRF.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_HWRF.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ra_HWRF.fppized.f90 module_ra_HWRF.fppized.f90:1621:24: 1621 | DO 100 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_ra_HWRF.fppized.f90:2025:36: 2025 | 24 PHALF(K)=PHALF(K)*0.01*1.0E+03 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 24 at (1) module_ra_HWRF.fppized.f90:2029:24: 2029 | 25 P(K)=P(K)*1013250. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 25 at (1) module_ra_HWRF.fppized.f90:2037:20: 2037 | DO 1010 L=1,10 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1010 at (1) module_ra_HWRF.fppized.f90:2054:23: 2054 | DO 1011 L=1,10 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1011 at (1) module_ra_HWRF.fppized.f90:2061:23: 2061 | DO 1031 L=1,10 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1031 at (1) module_ra_HWRF.fppized.f90:2069:30: 2069 | 31 DUO3N(L+9,KK)=RO32(L,KK) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 31 at (1) module_ra_HWRF.fppized.f90:2089:26: 2089 | 22 RSTD(KK)=DUO3N(L,KK) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 22 at (1) module_ra_HWRF.fppized.f90:2096:21: 2096 | RSTD(KI-1))/16. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 60 at (1) module_ra_HWRF.fppized.f90:2102:23: 2102 | 61 RDATA(K)=RSTD(KQ) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 61 at (1) module_ra_HWRF.fppized.f90:2132:43: 2132 | 89 O3RD=O3RD+RDATA(KK)*(PH(KK+1)-PH(KK)) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 89 at (1) module_ra_HWRF.fppized.f90:2137:50: 2137 | 88 O3TOT=O3TOT+RBAR(KK)*(PHALF(KK+1)-PHALF(KK)) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 88 at (1) module_ra_HWRF.fppized.f90:2145:30: 2145 | 23 DDUO3(L,KK)=RBAR(KK)*.01 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 23 at (1) module_ra_HWRF.fppized.f90:2164:21: 2164 | DO 302 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 302 at (1) module_ra_HWRF.fppized.f90:2169:21: 2169 | DO 312 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 312 at (1) module_ra_HWRF.fppized.f90:2174:21: 2174 | DO 322 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 322 at (1) module_ra_HWRF.fppized.f90:2179:21: 2179 | DO 332 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 332 at (1) module_ra_HWRF.fppized.f90:2253:21: 2253 | DO 25 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 25 at (1) module_ra_HWRF.fppized.f90:2269:24: 2269 | DO 33 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 33 at (1) module_ra_HWRF.fppized.f90:2280:24: 2280 | DO 43 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 43 at (1) module_ra_HWRF.fppized.f90:2285:25: 2285 | DO 31 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 31 at (1) module_ra_HWRF.fppized.f90:2290:25: 2290 | DO 32 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 32 at (1) module_ra_HWRF.fppized.f90:2309:24: 2309 | DO 53 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 53 at (1) module_ra_HWRF.fppized.f90:2320:24: 2320 | DO 63 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 63 at (1) module_ra_HWRF.fppized.f90:2325:25: 2325 | DO 52 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 52 at (1) module_ra_HWRF.fppized.f90:2333:19: 2333 | DO 71 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 71 at (1) module_ra_HWRF.fppized.f90:2334:21: 2334 | DO 71 IP=1,JTOP | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 71 at (1) module_ra_HWRF.fppized.f90:2494:24: 2494 | DO 103 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 103 at (1) module_ra_HWRF.fppized.f90:2505:24: 2505 | DO 107 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 107 at (1) module_ra_HWRF.fppized.f90:2512:24: 2512 | DO 125 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 125 at (1) module_ra_HWRF.fppized.f90:2525:24: 2525 | DO 131 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 131 at (1) module_ra_HWRF.fppized.f90:2552:24: 2552 | DO 203 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 203 at (1) module_ra_HWRF.fppized.f90:2570:24: 2570 | DO 811 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 811 at (1) module_ra_HWRF.fppized.f90:2574:24: 2574 | DO 812 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 812 at (1) module_ra_HWRF.fppized.f90:2590:24: 2590 | DO 162 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 162 at (1) module_ra_HWRF.fppized.f90:2612:24: 2612 | DO 184 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 184 at (1) module_ra_HWRF.fppized.f90:2621:24: 2621 | DO 190 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 190 at (1) module_ra_HWRF.fppized.f90:2632:24: 2632 | DO 211 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 211 at (1) module_ra_HWRF.fppized.f90:2641:24: 2641 | DO 215 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 215 at (1) module_ra_HWRF.fppized.f90:2660:24: 2660 | DO 250 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 250 at (1) module_ra_HWRF.fppized.f90:2670:24: 2670 | DO 222 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 222 at (1) module_ra_HWRF.fppized.f90:2687:24: 2687 | DO 206 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 206 at (1) module_ra_HWRF.fppized.f90:2697:24: 2697 | DO 260 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 260 at (1) module_ra_HWRF.fppized.f90:2703:24: 2703 | DO 264 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 264 at (1) module_ra_HWRF.fppized.f90:2715:24: 2715 | DO 282 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 282 at (1) module_ra_HWRF.fppized.f90:2886:24: 2886 | DO 101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_ra_HWRF.fppized.f90:2895:24: 2895 | DO 103 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 103 at (1) module_ra_HWRF.fppized.f90:2913:21: 2913 | DO 4114 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4114 at (1) module_ra_HWRF.fppized.f90:2918:25: 2918 | DO 4112 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4112 at (1) module_ra_HWRF.fppized.f90:2923:21: 2923 | DO 4214 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4214 at (1) module_ra_HWRF.fppized.f90:2928:25: 2928 | DO 4212 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4212 at (1) module_ra_HWRF.fppized.f90:2933:21: 2933 | DO 4314 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4314 at (1) module_ra_HWRF.fppized.f90:2938:25: 2938 | DO 4312 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4312 at (1) module_ra_HWRF.fppized.f90:2943:21: 2943 | DO 4414 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4414 at (1) module_ra_HWRF.fppized.f90:2948:25: 2948 | DO 4412 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4412 at (1) module_ra_HWRF.fppized.f90:2953:21: 2953 | DO 4514 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4514 at (1) module_ra_HWRF.fppized.f90:2958:25: 2958 | DO 4512 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4512 at (1) module_ra_HWRF.fppized.f90:2963:21: 2963 | DO 4614 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4614 at (1) module_ra_HWRF.fppized.f90:2968:25: 2968 | DO 4612 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4612 at (1) module_ra_HWRF.fppized.f90:2973:21: 2973 | DO 4714 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4714 at (1) module_ra_HWRF.fppized.f90:2978:25: 2978 | DO 4712 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4712 at (1) module_ra_HWRF.fppized.f90:2983:21: 2983 | DO 4814 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4814 at (1) module_ra_HWRF.fppized.f90:2988:25: 2988 | DO 4812 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4812 at (1) module_ra_HWRF.fppized.f90:2993:21: 2993 | DO 4914 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4914 at (1) module_ra_HWRF.fppized.f90:2998:25: 2998 | DO 4912 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4912 at (1) module_ra_HWRF.fppized.f90:3003:21: 3003 | DO 5014 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5014 at (1) module_ra_HWRF.fppized.f90:3008:25: 3008 | DO 5012 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5012 at (1) module_ra_HWRF.fppized.f90:3013:21: 3013 | DO 5114 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5114 at (1) module_ra_HWRF.fppized.f90:3018:25: 3018 | DO 5112 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5112 at (1) module_ra_HWRF.fppized.f90:3023:21: 3023 | DO 5214 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5214 at (1) module_ra_HWRF.fppized.f90:3028:25: 3028 | DO 5212 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5212 at (1) module_ra_HWRF.fppized.f90:3033:21: 3033 | DO 5314 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5314 at (1) module_ra_HWRF.fppized.f90:3038:25: 3038 | DO 5312 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5312 at (1) module_ra_HWRF.fppized.f90:3043:21: 3043 | DO 5414 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5414 at (1) module_ra_HWRF.fppized.f90:3048:25: 3048 | DO 5412 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5412 at (1) module_ra_HWRF.fppized.f90:3061:24: 3061 | DO 131 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 131 at (1) module_ra_HWRF.fppized.f90:3065:24: 3065 | DO 143 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 143 at (1) module_ra_HWRF.fppized.f90:3074:24: 3074 | DO 901 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 901 at (1) module_ra_HWRF.fppized.f90:3078:24: 3078 | DO 903 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 903 at (1) module_ra_HWRF.fppized.f90:3124:25: 3124 | DO 3021 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3021 at (1) module_ra_HWRF.fppized.f90:3145:24: 3145 | DO 302 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 302 at (1) module_ra_HWRF.fppized.f90:3161:25: 3161 | DO 3022 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3022 at (1) module_ra_HWRF.fppized.f90:3165:25: 3165 | DO 3023 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3023 at (1) module_ra_HWRF.fppized.f90:3176:24: 3176 | DO 305 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 305 at (1) module_ra_HWRF.fppized.f90:3189:24: 3189 | DO 303 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 303 at (1) module_ra_HWRF.fppized.f90:3220:24: 3220 | DO 999 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 999 at (1) module_ra_HWRF.fppized.f90:3224:25: 3224 | DO 1001 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1001 at (1) module_ra_HWRF.fppized.f90:3231:25: 3231 | DO 1011 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1011 at (1) module_ra_HWRF.fppized.f90:3253:25: 3253 | DO 3218 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3218 at (1) module_ra_HWRF.fppized.f90:3273:24: 3273 | DO 322 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 322 at (1) module_ra_HWRF.fppized.f90:3281:25: 3281 | DO 3221 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3221 at (1) module_ra_HWRF.fppized.f90:3293:25: 3293 | DO 3223 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3223 at (1) module_ra_HWRF.fppized.f90:3302:25: 3302 | DO 3423 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3423 at (1) module_ra_HWRF.fppized.f90:3309:25: 3309 | DO 3425 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3425 at (1) module_ra_HWRF.fppized.f90:3323:24: 3323 | DO 823 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 823 at (1) module_ra_HWRF.fppized.f90:3353:24: 3353 | DO 851 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 851 at (1) module_ra_HWRF.fppized.f90:3377:24: 3377 | DO 618 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 618 at (1) module_ra_HWRF.fppized.f90:3381:24: 3381 | DO 601 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 601 at (1) module_ra_HWRF.fppized.f90:3386:24: 3386 | DO 603 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 603 at (1) module_ra_HWRF.fppized.f90:3401:24: 3401 | DO 631 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 631 at (1) module_ra_HWRF.fppized.f90:3412:24: 3412 | DO 643 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 643 at (1) module_ra_HWRF.fppized.f90:3420:24: 3420 | DO 651 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 651 at (1) module_ra_HWRF.fppized.f90:3426:24: 3426 | DO 655 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 655 at (1) module_ra_HWRF.fppized.f90:3438:24: 3438 | DO 663 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 663 at (1) module_ra_HWRF.fppized.f90:3446:24: 3446 | DO 871 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 871 at (1) module_ra_HWRF.fppized.f90:3469:25: 3469 | DO 1101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1101 at (1) module_ra_HWRF.fppized.f90:3474:25: 3474 | DO 1103 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1103 at (1) module_ra_HWRF.fppized.f90:3480:25: 3480 | DO 1111 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1111 at (1) module_ra_HWRF.fppized.f90:3490:25: 3490 | DO 1123 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1123 at (1) module_ra_HWRF.fppized.f90:3573:25: 3573 | DO 6101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 6101 at (1) module_ra_HWRF.fppized.f90:3633:25: 3633 | DO 1322 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1322 at (1) module_ra_HWRF.fppized.f90:3671:24: 3671 | DO 209 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 209 at (1) module_ra_HWRF.fppized.f90:3678:24: 3678 | DO 211 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 211 at (1) module_ra_HWRF.fppized.f90:3690:24: 3690 | DO 240 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_ra_HWRF.fppized.f90:3701:24: 3701 | DO 241 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 241 at (1) module_ra_HWRF.fppized.f90:3713:24: 3713 | DO 242 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 242 at (1) module_ra_HWRF.fppized.f90:3783:24: 3783 | DO 101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_ra_HWRF.fppized.f90:3802:24: 3802 | DO 301 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 301 at (1) module_ra_HWRF.fppized.f90:3824:24: 3824 | DO 321 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 321 at (1) module_ra_HWRF.fppized.f90:3832:24: 3832 | DO 353 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 353 at (1) module_ra_HWRF.fppized.f90:3851:24: 3851 | DO 401 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 401 at (1) module_ra_HWRF.fppized.f90:3873:24: 3873 | DO 421 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 421 at (1) module_ra_HWRF.fppized.f90:3881:24: 3881 | DO 453 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 453 at (1) module_ra_HWRF.fppized.f90:3900:24: 3900 | DO 501 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 501 at (1) module_ra_HWRF.fppized.f90:3922:24: 3922 | DO 521 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 521 at (1) module_ra_HWRF.fppized.f90:3930:24: 3930 | DO 553 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 553 at (1) module_ra_HWRF.fppized.f90:3949:24: 3949 | DO 601 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 601 at (1) module_ra_HWRF.fppized.f90:3971:24: 3971 | DO 621 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 621 at (1) module_ra_HWRF.fppized.f90:3979:24: 3979 | DO 653 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 653 at (1) module_ra_HWRF.fppized.f90:3998:24: 3998 | DO 701 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 701 at (1) module_ra_HWRF.fppized.f90:4020:24: 4020 | DO 721 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 721 at (1) module_ra_HWRF.fppized.f90:4029:24: 4029 | DO 753 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 753 at (1) module_ra_HWRF.fppized.f90:4048:24: 4048 | DO 801 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 801 at (1) module_ra_HWRF.fppized.f90:4070:24: 4070 | DO 821 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 821 at (1) module_ra_HWRF.fppized.f90:4079:24: 4079 | DO 853 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 853 at (1) module_ra_HWRF.fppized.f90:4098:24: 4098 | DO 901 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 901 at (1) module_ra_HWRF.fppized.f90:4120:24: 4120 | DO 921 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 921 at (1) module_ra_HWRF.fppized.f90:4129:24: 4129 | DO 953 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 953 at (1) module_ra_HWRF.fppized.f90:4148:25: 4148 | DO 1001 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1001 at (1) module_ra_HWRF.fppized.f90:4170:25: 4170 | DO 1021 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1021 at (1) module_ra_HWRF.fppized.f90:4179:25: 4179 | DO 1053 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1053 at (1) module_ra_HWRF.fppized.f90:4198:25: 4198 | DO 1101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1101 at (1) module_ra_HWRF.fppized.f90:4220:25: 4220 | DO 1121 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1121 at (1) module_ra_HWRF.fppized.f90:4229:25: 4229 | DO 1153 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1153 at (1) module_ra_HWRF.fppized.f90:4248:25: 4248 | DO 1201 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1201 at (1) module_ra_HWRF.fppized.f90:4270:25: 4270 | DO 1221 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1221 at (1) module_ra_HWRF.fppized.f90:4279:25: 4279 | DO 1253 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1253 at (1) module_ra_HWRF.fppized.f90:4298:25: 4298 | DO 1301 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1301 at (1) module_ra_HWRF.fppized.f90:4320:25: 4320 | DO 1321 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1321 at (1) module_ra_HWRF.fppized.f90:4329:25: 4329 | DO 1353 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1353 at (1) module_ra_HWRF.fppized.f90:4348:25: 4348 | DO 1401 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1401 at (1) module_ra_HWRF.fppized.f90:4370:25: 4370 | DO 1421 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1421 at (1) module_ra_HWRF.fppized.f90:4379:25: 4379 | DO 1453 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1453 at (1) module_ra_HWRF.fppized.f90:4398:25: 4398 | DO 1501 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1501 at (1) module_ra_HWRF.fppized.f90:4420:25: 4420 | DO 1521 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1521 at (1) module_ra_HWRF.fppized.f90:4429:25: 4429 | DO 1553 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1553 at (1) module_ra_HWRF.fppized.f90:4448:25: 4448 | DO 1601 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1601 at (1) module_ra_HWRF.fppized.f90:4470:25: 4470 | DO 1621 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1621 at (1) module_ra_HWRF.fppized.f90:4479:25: 4479 | DO 1653 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1653 at (1) module_ra_HWRF.fppized.f90:4498:25: 4498 | DO 1731 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1731 at (1) module_ra_HWRF.fppized.f90:4505:25: 4505 | DO 1741 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1741 at (1) module_ra_HWRF.fppized.f90:4514:25: 4514 | DO 1711 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1711 at (1) module_ra_HWRF.fppized.f90:4519:25: 4519 | DO 1701 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1701 at (1) module_ra_HWRF.fppized.f90:4577:24: 4577 | DO 132 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 132 at (1) module_ra_HWRF.fppized.f90:4601:24: 4601 | DO 142 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 142 at (1) module_ra_HWRF.fppized.f90:4607:24: 4607 | DO 234 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 234 at (1) module_ra_HWRF.fppized.f90:4648:24: 4648 | DO 132 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 132 at (1) module_ra_HWRF.fppized.f90:4696:24: 4696 | DO 203 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 203 at (1) module_ra_HWRF.fppized.f90:4811:24: 4811 | DO 110 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 110 at (1) module_ra_HWRF.fppized.f90:4815:24: 4815 | DO 120 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 120 at (1) module_ra_HWRF.fppized.f90:4820:24: 4820 | DO 130 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 130 at (1) module_ra_HWRF.fppized.f90:4825:25: 4825 | DO 140 IP=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 140 at (1) module_ra_HWRF.fppized.f90:4844:24: 4844 | DO 160 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 160 at (1) module_ra_HWRF.fppized.f90:4866:24: 4866 | DO 210 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 210 at (1) module_ra_HWRF.fppized.f90:4885:25: 4885 | DO 230 IP=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 230 at (1) module_ra_HWRF.fppized.f90:4902:24: 4902 | DO 240 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_ra_HWRF.fppized.f90:4909:24: 4909 | DO 241 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 241 at (1) module_ra_HWRF.fppized.f90:4913:24: 4913 | DO 242 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 242 at (1) module_ra_HWRF.fppized.f90:4923:24: 4923 | DO 250 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 250 at (1) module_ra_HWRF.fppized.f90:4932:24: 4932 | DO 251 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 251 at (1) module_ra_HWRF.fppized.f90:4936:24: 4936 | DO 252 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 252 at (1) module_ra_HWRF.fppized.f90:4945:24: 4945 | DO 260 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 260 at (1) module_ra_HWRF.fppized.f90:4959:25: 4959 | DO 280 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 280 at (1) module_ra_HWRF.fppized.f90:4980:28: 4980 | DO 290 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 290 at (1) module_ra_HWRF.fppized.f90:4989:28: 4989 | DO 300 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 300 at (1) module_ra_HWRF.fppized.f90:5005:26: 5005 | DO 320 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 320 at (1) module_ra_HWRF.fppized.f90:5014:24: 5014 | DO 360 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 360 at (1) module_ra_HWRF.fppized.f90:5018:24: 5018 | DO 370 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 370 at (1) module_ra_HWRF.fppized.f90:5031:26: 5031 | DO 410 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 410 at (1) module_ra_HWRF.fppized.f90:5037:26: 5037 | DO 420 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 420 at (1) module_ra_HWRF.fppized.f90:5044:24: 5044 | DO 430 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 430 at (1) module_ra_HWRF.fppized.f90:5063:24: 5063 | DO 480 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 480 at (1) module_ra_HWRF.fppized.f90:5070:21: 5070 | DO 490 K=1,JTOP | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 490 at (1) module_ra_HWRF.fppized.f90:5090:24: 5090 | DO 520 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 520 at (1) module_ra_HWRF.fppized.f90:5109:25: 5109 | DO 540 IP=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 540 at (1) module_ra_HWRF.fppized.f90:5119:24: 5119 | DO 550 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 550 at (1) module_ra_HWRF.fppized.f90:5125:24: 5125 | DO 551 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 551 at (1) module_ra_HWRF.fppized.f90:5129:24: 5129 | DO 552 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 552 at (1) module_ra_HWRF.fppized.f90:5134:24: 5134 | DO 560 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 560 at (1) module_ra_HWRF.fppized.f90:5142:24: 5142 | DO 561 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 561 at (1) module_ra_HWRF.fppized.f90:5146:24: 5146 | DO 562 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 562 at (1) module_ra_HWRF.fppized.f90:5184:24: 5184 | DO 600 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 600 at (1) module_ra_HWRF.fppized.f90:6242:24: 6242 | DO 50 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 50 at (1) module_ra_HWRF.fppized.f90:6255:24: 6255 | DO 60 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 60 at (1) module_ra_HWRF.fppized.f90:6333:26: 6333 | DO 200 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 200 at (1) module_ra_HWRF.fppized.f90:6338:24: 6338 | DO 220 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 220 at (1) module_ra_HWRF.fppized.f90:6357:24: 6357 | DO 240 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_ra_HWRF.fppized.f90:6419:26: 6419 | DO 290 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 290 at (1) module_ra_HWRF.fppized.f90:6461:26: 6461 | DO 330 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 330 at (1) module_ra_HWRF.fppized.f90:7546:19: 7546 | DO 205 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 205 at (1) module_ra_HWRF.fppized.f90:7550:19: 7550 | DO 207 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 207 at (1) module_ra_HWRF.fppized.f90:7593:19: 7593 | DO 221 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 221 at (1) module_ra_HWRF.fppized.f90:7597:19: 7597 | DO 223 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 223 at (1) module_ra_HWRF.fppized.f90:7601:19: 7601 | DO 225 I=1,27 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 225 at (1) module_ra_HWRF.fppized.f90:7680:19: 7680 | DO 401 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 401 at (1) module_ra_HWRF.fppized.f90:7704:22: 7704 | DO 419 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 419 at (1) module_ra_HWRF.fppized.f90:7709:22: 7709 | DO 421 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 421 at (1) module_ra_HWRF.fppized.f90:7716:22: 7716 | DO 420 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 420 at (1) module_ra_HWRF.fppized.f90:7722:19: 7722 | DO 431 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 431 at (1) module_ra_HWRF.fppized.f90:7727:19: 7727 | DO 433 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 433 at (1) module_ra_HWRF.fppized.f90:7731:19: 7731 | DO 441 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 441 at (1) module_ra_HWRF.fppized.f90:7735:19: 7735 | DO 443 I=1,27 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 443 at (1) module_ra_HWRF.fppized.f90:7745:19: 7745 | DO 449 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 449 at (1) module_ra_HWRF.fppized.f90:7749:19: 7749 | DO 451 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 451 at (1) module_ra_HWRF.fppized.f90:7753:19: 7753 | DO 453 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 453 at (1) module_ra_HWRF.fppized.f90:7759:19: 7759 | DO 501 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 501 at (1) module_ra_HWRF.fppized.f90:7763:19: 7763 | DO 503 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 503 at (1) module_ra_HWRF.fppized.f90:8021:33: 8021 | IF(ABS(EXPO)-50.0) 23,23,24 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:8028:28: 8028 | 25 IF(EXPP-50.0) 27,27,28 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:8221:22: 8221 | DO 300 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 300 at (1) module_ra_HWRF.fppized.f90:8230:22: 8230 | DO 301 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 301 at (1) module_ra_HWRF.fppized.f90:8282:21: 8282 | DO 1021 J=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1021 at (1) module_ra_HWRF.fppized.f90:8297:18: 8297 | DO 1 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_ra_HWRF.fppized.f90:8320:21: 8320 | DO 400 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 400 at (1) module_ra_HWRF.fppized.f90:8762:21: 8762 | DO 805 J=1,NLP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 805 at (1) module_ra_HWRF.fppized.f90:8775:20: 8775 | DO 47 J=I,NLP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 47 at (1) module_ra_HWRF.fppized.f90:8796:22: 8796 | DO 304 I=1,NLP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 304 at (1) module_ra_HWRF.fppized.f90:8826:22: 8826 | DO 300 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 300 at (1) module_ra_HWRF.fppized.f90:8835:22: 8835 | DO 301 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 301 at (1) module_ra_HWRF.fppized.f90:8878:21: 8878 | DO 1021 J=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1021 at (1) module_ra_HWRF.fppized.f90:8893:18: 8893 | DO 1 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_ra_HWRF.fppized.f90:9006:60: 9006 | 505 PRESS(N)=PRSINT(N)+0.25*(NQ-1)*(PRSINT(N-1)-PRSINT(N)) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 505 at (1) module_ra_HWRF.fppized.f90:9292:28: 9292 | IF (P2-PA(L)) 65,65,70 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:9299:28: 9299 | IF (P1-PA(L)) 75,75,80 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:9315:30: 9315 | IF (PETA-PA(L)) 85,85,90 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:9326:26: 9326 | IF (I-J) 126,126,127 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:9360:28: 9360 | IF (I-J-2) 350,350,355 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_HWRF.fppized.f90:8747:37: 8747 | CALL wrf_dm_bcast_bytes ( TRANSA , size ( TRANSA ) * 4 ) | 1 ...... 9525 | CALL wrf_dm_bcast_bytes ( etarad_unit61 , 4 ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_cam.F90 -o module_ra_cam.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_cam_support.F90 -o module_ra_cam_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_cam_support.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ra_cam_support.fppized.f90 module_ra_cam_support.fppized.f90:3840:35: 3817 | CALL wrf_dm_bcast_bytes ( cam_abs_unit , 4 ) | 2 ...... 3840 | CALL wrf_dm_bcast_bytes ( ah2onw , size ( ah2onw ) * r8 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_clWRF_support.F90 -o module_ra_clWRF_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_clWRF_support.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ra_clWRF_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_cam.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ra_cam.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_gfdleta.F90 -o module_ra_gfdleta.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_gfdleta.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ra_gfdleta.fppized.f90 module_ra_gfdleta.fppized.f90:1623:24: 1623 | DO 100 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_ra_gfdleta.fppized.f90:2023:36: 2023 | 24 PHALF(K)=PHALF(K)*0.01*1.0E+03 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 24 at (1) module_ra_gfdleta.fppized.f90:2027:24: 2027 | 25 P(K)=P(K)*1013250. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 25 at (1) module_ra_gfdleta.fppized.f90:2035:20: 2035 | DO 1010 L=1,10 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1010 at (1) module_ra_gfdleta.fppized.f90:2052:23: 2052 | DO 1011 L=1,10 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1011 at (1) module_ra_gfdleta.fppized.f90:2059:23: 2059 | DO 1031 L=1,10 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1031 at (1) module_ra_gfdleta.fppized.f90:2067:30: 2067 | 31 DUO3N(L+9,KK)=RO32(L,KK) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 31 at (1) module_ra_gfdleta.fppized.f90:2087:26: 2087 | 22 RSTD(KK)=DUO3N(L,KK) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 22 at (1) module_ra_gfdleta.fppized.f90:2094:21: 2094 | RSTD(KI-1))/16. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 60 at (1) module_ra_gfdleta.fppized.f90:2100:23: 2100 | 61 RDATA(K)=RSTD(KQ) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 61 at (1) module_ra_gfdleta.fppized.f90:2130:43: 2130 | 89 O3RD=O3RD+RDATA(KK)*(PH(KK+1)-PH(KK)) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 89 at (1) module_ra_gfdleta.fppized.f90:2135:50: 2135 | 88 O3TOT=O3TOT+RBAR(KK)*(PHALF(KK+1)-PHALF(KK)) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 88 at (1) module_ra_gfdleta.fppized.f90:2143:30: 2143 | 23 DDUO3(L,KK)=RBAR(KK)*.01 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 23 at (1) module_ra_gfdleta.fppized.f90:2162:21: 2162 | DO 302 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 302 at (1) module_ra_gfdleta.fppized.f90:2167:21: 2167 | DO 312 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 312 at (1) module_ra_gfdleta.fppized.f90:2172:21: 2172 | DO 322 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 322 at (1) module_ra_gfdleta.fppized.f90:2177:21: 2177 | DO 332 KEN=1,N | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 332 at (1) module_ra_gfdleta.fppized.f90:2251:21: 2251 | DO 25 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 25 at (1) module_ra_gfdleta.fppized.f90:2267:24: 2267 | DO 33 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 33 at (1) module_ra_gfdleta.fppized.f90:2278:24: 2278 | DO 43 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 43 at (1) module_ra_gfdleta.fppized.f90:2283:25: 2283 | DO 31 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 31 at (1) module_ra_gfdleta.fppized.f90:2288:25: 2288 | DO 32 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 32 at (1) module_ra_gfdleta.fppized.f90:2307:24: 2307 | DO 53 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 53 at (1) module_ra_gfdleta.fppized.f90:2318:24: 2318 | DO 63 KP=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 63 at (1) module_ra_gfdleta.fppized.f90:2323:25: 2323 | DO 52 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 52 at (1) module_ra_gfdleta.fppized.f90:2331:19: 2331 | DO 71 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 71 at (1) module_ra_gfdleta.fppized.f90:2332:21: 2332 | DO 71 IP=1,JTOP | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 71 at (1) module_ra_gfdleta.fppized.f90:2492:24: 2492 | DO 103 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 103 at (1) module_ra_gfdleta.fppized.f90:2503:24: 2503 | DO 107 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 107 at (1) module_ra_gfdleta.fppized.f90:2510:24: 2510 | DO 125 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 125 at (1) module_ra_gfdleta.fppized.f90:2523:24: 2523 | DO 131 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 131 at (1) module_ra_gfdleta.fppized.f90:2550:24: 2550 | DO 203 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 203 at (1) module_ra_gfdleta.fppized.f90:2568:24: 2568 | DO 811 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 811 at (1) module_ra_gfdleta.fppized.f90:2572:24: 2572 | DO 812 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 812 at (1) module_ra_gfdleta.fppized.f90:2588:24: 2588 | DO 162 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 162 at (1) module_ra_gfdleta.fppized.f90:2610:24: 2610 | DO 184 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 184 at (1) module_ra_gfdleta.fppized.f90:2619:24: 2619 | DO 190 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 190 at (1) module_ra_gfdleta.fppized.f90:2630:24: 2630 | DO 211 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 211 at (1) module_ra_gfdleta.fppized.f90:2639:24: 2639 | DO 215 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 215 at (1) module_ra_gfdleta.fppized.f90:2658:24: 2658 | DO 250 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 250 at (1) module_ra_gfdleta.fppized.f90:2668:24: 2668 | DO 222 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 222 at (1) module_ra_gfdleta.fppized.f90:2685:24: 2685 | DO 206 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 206 at (1) module_ra_gfdleta.fppized.f90:2695:24: 2695 | DO 260 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 260 at (1) module_ra_gfdleta.fppized.f90:2701:24: 2701 | DO 264 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 264 at (1) module_ra_gfdleta.fppized.f90:2713:24: 2713 | DO 282 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 282 at (1) module_ra_gfdleta.fppized.f90:2884:24: 2884 | DO 101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_ra_gfdleta.fppized.f90:2893:24: 2893 | DO 103 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 103 at (1) module_ra_gfdleta.fppized.f90:2911:21: 2911 | DO 4114 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4114 at (1) module_ra_gfdleta.fppized.f90:2916:25: 2916 | DO 4112 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4112 at (1) module_ra_gfdleta.fppized.f90:2921:21: 2921 | DO 4214 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4214 at (1) module_ra_gfdleta.fppized.f90:2926:25: 2926 | DO 4212 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4212 at (1) module_ra_gfdleta.fppized.f90:2931:21: 2931 | DO 4314 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4314 at (1) module_ra_gfdleta.fppized.f90:2936:25: 2936 | DO 4312 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4312 at (1) module_ra_gfdleta.fppized.f90:2941:21: 2941 | DO 4414 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4414 at (1) module_ra_gfdleta.fppized.f90:2946:25: 2946 | DO 4412 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4412 at (1) module_ra_gfdleta.fppized.f90:2951:21: 2951 | DO 4514 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4514 at (1) module_ra_gfdleta.fppized.f90:2956:25: 2956 | DO 4512 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4512 at (1) module_ra_gfdleta.fppized.f90:2961:21: 2961 | DO 4614 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4614 at (1) module_ra_gfdleta.fppized.f90:2966:25: 2966 | DO 4612 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4612 at (1) module_ra_gfdleta.fppized.f90:2971:21: 2971 | DO 4714 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4714 at (1) module_ra_gfdleta.fppized.f90:2976:25: 2976 | DO 4712 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4712 at (1) module_ra_gfdleta.fppized.f90:2981:21: 2981 | DO 4814 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4814 at (1) module_ra_gfdleta.fppized.f90:2986:25: 2986 | DO 4812 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4812 at (1) module_ra_gfdleta.fppized.f90:2991:21: 2991 | DO 4914 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4914 at (1) module_ra_gfdleta.fppized.f90:2996:25: 2996 | DO 4912 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 4912 at (1) module_ra_gfdleta.fppized.f90:3001:21: 3001 | DO 5014 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5014 at (1) module_ra_gfdleta.fppized.f90:3006:25: 3006 | DO 5012 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5012 at (1) module_ra_gfdleta.fppized.f90:3011:21: 3011 | DO 5114 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5114 at (1) module_ra_gfdleta.fppized.f90:3016:25: 3016 | DO 5112 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5112 at (1) module_ra_gfdleta.fppized.f90:3021:21: 3021 | DO 5214 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5214 at (1) module_ra_gfdleta.fppized.f90:3026:25: 3026 | DO 5212 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5212 at (1) module_ra_gfdleta.fppized.f90:3031:21: 3031 | DO 5314 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5314 at (1) module_ra_gfdleta.fppized.f90:3036:25: 3036 | DO 5312 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5312 at (1) module_ra_gfdleta.fppized.f90:3041:21: 3041 | DO 5414 K=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5414 at (1) module_ra_gfdleta.fppized.f90:3046:25: 3046 | DO 5412 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5412 at (1) module_ra_gfdleta.fppized.f90:3059:24: 3059 | DO 131 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 131 at (1) module_ra_gfdleta.fppized.f90:3063:24: 3063 | DO 143 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 143 at (1) module_ra_gfdleta.fppized.f90:3072:24: 3072 | DO 901 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 901 at (1) module_ra_gfdleta.fppized.f90:3076:24: 3076 | DO 903 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 903 at (1) module_ra_gfdleta.fppized.f90:3122:25: 3122 | DO 3021 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3021 at (1) module_ra_gfdleta.fppized.f90:3143:24: 3143 | DO 302 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 302 at (1) module_ra_gfdleta.fppized.f90:3159:25: 3159 | DO 3022 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3022 at (1) module_ra_gfdleta.fppized.f90:3163:25: 3163 | DO 3023 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3023 at (1) module_ra_gfdleta.fppized.f90:3174:24: 3174 | DO 305 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 305 at (1) module_ra_gfdleta.fppized.f90:3187:24: 3187 | DO 303 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 303 at (1) module_ra_gfdleta.fppized.f90:3218:24: 3218 | DO 999 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 999 at (1) module_ra_gfdleta.fppized.f90:3222:25: 3222 | DO 1001 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1001 at (1) module_ra_gfdleta.fppized.f90:3229:25: 3229 | DO 1011 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1011 at (1) module_ra_gfdleta.fppized.f90:3251:25: 3251 | DO 3218 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3218 at (1) module_ra_gfdleta.fppized.f90:3271:24: 3271 | DO 322 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 322 at (1) module_ra_gfdleta.fppized.f90:3279:25: 3279 | DO 3221 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3221 at (1) module_ra_gfdleta.fppized.f90:3291:25: 3291 | DO 3223 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3223 at (1) module_ra_gfdleta.fppized.f90:3300:25: 3300 | DO 3423 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3423 at (1) module_ra_gfdleta.fppized.f90:3307:25: 3307 | DO 3425 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3425 at (1) module_ra_gfdleta.fppized.f90:3321:24: 3321 | DO 823 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 823 at (1) module_ra_gfdleta.fppized.f90:3351:24: 3351 | DO 851 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 851 at (1) module_ra_gfdleta.fppized.f90:3375:24: 3375 | DO 618 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 618 at (1) module_ra_gfdleta.fppized.f90:3379:24: 3379 | DO 601 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 601 at (1) module_ra_gfdleta.fppized.f90:3384:24: 3384 | DO 603 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 603 at (1) module_ra_gfdleta.fppized.f90:3399:24: 3399 | DO 631 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 631 at (1) module_ra_gfdleta.fppized.f90:3410:24: 3410 | DO 643 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 643 at (1) module_ra_gfdleta.fppized.f90:3418:24: 3418 | DO 651 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 651 at (1) module_ra_gfdleta.fppized.f90:3424:24: 3424 | DO 655 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 655 at (1) module_ra_gfdleta.fppized.f90:3436:24: 3436 | DO 663 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 663 at (1) module_ra_gfdleta.fppized.f90:3444:24: 3444 | DO 871 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 871 at (1) module_ra_gfdleta.fppized.f90:3467:25: 3467 | DO 1101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1101 at (1) module_ra_gfdleta.fppized.f90:3472:25: 3472 | DO 1103 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1103 at (1) module_ra_gfdleta.fppized.f90:3478:25: 3478 | DO 1111 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1111 at (1) module_ra_gfdleta.fppized.f90:3488:25: 3488 | DO 1123 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1123 at (1) module_ra_gfdleta.fppized.f90:3571:25: 3571 | DO 6101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 6101 at (1) module_ra_gfdleta.fppized.f90:3631:25: 3631 | DO 1322 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1322 at (1) module_ra_gfdleta.fppized.f90:3669:24: 3669 | DO 209 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 209 at (1) module_ra_gfdleta.fppized.f90:3676:24: 3676 | DO 211 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 211 at (1) module_ra_gfdleta.fppized.f90:3688:24: 3688 | DO 240 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_ra_gfdleta.fppized.f90:3699:24: 3699 | DO 241 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 241 at (1) module_ra_gfdleta.fppized.f90:3711:24: 3711 | DO 242 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 242 at (1) module_ra_gfdleta.fppized.f90:3781:24: 3781 | DO 101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 101 at (1) module_ra_gfdleta.fppized.f90:3800:24: 3800 | DO 301 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 301 at (1) module_ra_gfdleta.fppized.f90:3822:24: 3822 | DO 321 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 321 at (1) module_ra_gfdleta.fppized.f90:3830:24: 3830 | DO 353 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 353 at (1) module_ra_gfdleta.fppized.f90:3849:24: 3849 | DO 401 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 401 at (1) module_ra_gfdleta.fppized.f90:3871:24: 3871 | DO 421 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 421 at (1) module_ra_gfdleta.fppized.f90:3879:24: 3879 | DO 453 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 453 at (1) module_ra_gfdleta.fppized.f90:3898:24: 3898 | DO 501 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 501 at (1) module_ra_gfdleta.fppized.f90:3920:24: 3920 | DO 521 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 521 at (1) module_ra_gfdleta.fppized.f90:3928:24: 3928 | DO 553 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 553 at (1) module_ra_gfdleta.fppized.f90:3947:24: 3947 | DO 601 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 601 at (1) module_ra_gfdleta.fppized.f90:3969:24: 3969 | DO 621 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 621 at (1) module_ra_gfdleta.fppized.f90:3977:24: 3977 | DO 653 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 653 at (1) module_ra_gfdleta.fppized.f90:3996:24: 3996 | DO 701 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 701 at (1) module_ra_gfdleta.fppized.f90:4018:24: 4018 | DO 721 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 721 at (1) module_ra_gfdleta.fppized.f90:4027:24: 4027 | DO 753 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 753 at (1) module_ra_gfdleta.fppized.f90:4046:24: 4046 | DO 801 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 801 at (1) module_ra_gfdleta.fppized.f90:4068:24: 4068 | DO 821 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 821 at (1) module_ra_gfdleta.fppized.f90:4077:24: 4077 | DO 853 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 853 at (1) module_ra_gfdleta.fppized.f90:4096:24: 4096 | DO 901 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 901 at (1) module_ra_gfdleta.fppized.f90:4118:24: 4118 | DO 921 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 921 at (1) module_ra_gfdleta.fppized.f90:4127:24: 4127 | DO 953 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 953 at (1) module_ra_gfdleta.fppized.f90:4146:25: 4146 | DO 1001 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1001 at (1) module_ra_gfdleta.fppized.f90:4168:25: 4168 | DO 1021 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1021 at (1) module_ra_gfdleta.fppized.f90:4177:25: 4177 | DO 1053 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1053 at (1) module_ra_gfdleta.fppized.f90:4196:25: 4196 | DO 1101 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1101 at (1) module_ra_gfdleta.fppized.f90:4218:25: 4218 | DO 1121 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1121 at (1) module_ra_gfdleta.fppized.f90:4227:25: 4227 | DO 1153 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1153 at (1) module_ra_gfdleta.fppized.f90:4246:25: 4246 | DO 1201 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1201 at (1) module_ra_gfdleta.fppized.f90:4268:25: 4268 | DO 1221 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1221 at (1) module_ra_gfdleta.fppized.f90:4277:25: 4277 | DO 1253 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1253 at (1) module_ra_gfdleta.fppized.f90:4296:25: 4296 | DO 1301 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1301 at (1) module_ra_gfdleta.fppized.f90:4318:25: 4318 | DO 1321 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1321 at (1) module_ra_gfdleta.fppized.f90:4327:25: 4327 | DO 1353 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1353 at (1) module_ra_gfdleta.fppized.f90:4346:25: 4346 | DO 1401 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1401 at (1) module_ra_gfdleta.fppized.f90:4368:25: 4368 | DO 1421 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1421 at (1) module_ra_gfdleta.fppized.f90:4377:25: 4377 | DO 1453 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1453 at (1) module_ra_gfdleta.fppized.f90:4396:25: 4396 | DO 1501 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1501 at (1) module_ra_gfdleta.fppized.f90:4418:25: 4418 | DO 1521 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1521 at (1) module_ra_gfdleta.fppized.f90:4427:25: 4427 | DO 1553 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1553 at (1) module_ra_gfdleta.fppized.f90:4446:25: 4446 | DO 1601 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1601 at (1) module_ra_gfdleta.fppized.f90:4468:25: 4468 | DO 1621 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1621 at (1) module_ra_gfdleta.fppized.f90:4477:25: 4477 | DO 1653 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1653 at (1) module_ra_gfdleta.fppized.f90:4496:25: 4496 | DO 1731 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1731 at (1) module_ra_gfdleta.fppized.f90:4503:25: 4503 | DO 1741 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1741 at (1) module_ra_gfdleta.fppized.f90:4512:25: 4512 | DO 1711 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1711 at (1) module_ra_gfdleta.fppized.f90:4517:25: 4517 | DO 1701 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1701 at (1) module_ra_gfdleta.fppized.f90:4575:24: 4575 | DO 132 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 132 at (1) module_ra_gfdleta.fppized.f90:4599:24: 4599 | DO 142 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 142 at (1) module_ra_gfdleta.fppized.f90:4605:24: 4605 | DO 234 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 234 at (1) module_ra_gfdleta.fppized.f90:4646:24: 4646 | DO 132 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 132 at (1) module_ra_gfdleta.fppized.f90:4694:24: 4694 | DO 203 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 203 at (1) module_ra_gfdleta.fppized.f90:4809:24: 4809 | DO 110 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 110 at (1) module_ra_gfdleta.fppized.f90:4813:24: 4813 | DO 120 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 120 at (1) module_ra_gfdleta.fppized.f90:4818:24: 4818 | DO 130 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 130 at (1) module_ra_gfdleta.fppized.f90:4823:25: 4823 | DO 140 IP=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 140 at (1) module_ra_gfdleta.fppized.f90:4842:24: 4842 | DO 160 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 160 at (1) module_ra_gfdleta.fppized.f90:4864:24: 4864 | DO 210 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 210 at (1) module_ra_gfdleta.fppized.f90:4883:25: 4883 | DO 230 IP=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 230 at (1) module_ra_gfdleta.fppized.f90:4900:24: 4900 | DO 240 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_ra_gfdleta.fppized.f90:4907:24: 4907 | DO 241 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 241 at (1) module_ra_gfdleta.fppized.f90:4911:24: 4911 | DO 242 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 242 at (1) module_ra_gfdleta.fppized.f90:4921:24: 4921 | DO 250 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 250 at (1) module_ra_gfdleta.fppized.f90:4930:24: 4930 | DO 251 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 251 at (1) module_ra_gfdleta.fppized.f90:4934:24: 4934 | DO 252 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 252 at (1) module_ra_gfdleta.fppized.f90:4943:24: 4943 | DO 260 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 260 at (1) module_ra_gfdleta.fppized.f90:4957:25: 4957 | DO 280 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 280 at (1) module_ra_gfdleta.fppized.f90:4978:28: 4978 | DO 290 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 290 at (1) module_ra_gfdleta.fppized.f90:4987:28: 4987 | DO 300 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 300 at (1) module_ra_gfdleta.fppized.f90:5003:26: 5003 | DO 320 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 320 at (1) module_ra_gfdleta.fppized.f90:5012:24: 5012 | DO 360 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 360 at (1) module_ra_gfdleta.fppized.f90:5016:24: 5016 | DO 370 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 370 at (1) module_ra_gfdleta.fppized.f90:5029:26: 5029 | DO 410 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 410 at (1) module_ra_gfdleta.fppized.f90:5035:26: 5035 | DO 420 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 420 at (1) module_ra_gfdleta.fppized.f90:5042:24: 5042 | DO 430 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 430 at (1) module_ra_gfdleta.fppized.f90:5061:24: 5061 | DO 480 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 480 at (1) module_ra_gfdleta.fppized.f90:5068:21: 5068 | DO 490 K=1,JTOP | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 490 at (1) module_ra_gfdleta.fppized.f90:5088:24: 5088 | DO 520 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 520 at (1) module_ra_gfdleta.fppized.f90:5107:25: 5107 | DO 540 IP=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 540 at (1) module_ra_gfdleta.fppized.f90:5117:24: 5117 | DO 550 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 550 at (1) module_ra_gfdleta.fppized.f90:5123:24: 5123 | DO 551 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 551 at (1) module_ra_gfdleta.fppized.f90:5127:24: 5127 | DO 552 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 552 at (1) module_ra_gfdleta.fppized.f90:5132:24: 5132 | DO 560 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 560 at (1) module_ra_gfdleta.fppized.f90:5140:24: 5140 | DO 561 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 561 at (1) module_ra_gfdleta.fppized.f90:5144:24: 5144 | DO 562 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 562 at (1) module_ra_gfdleta.fppized.f90:5182:24: 5182 | DO 600 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 600 at (1) module_ra_gfdleta.fppized.f90:6216:24: 6216 | DO 50 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 50 at (1) module_ra_gfdleta.fppized.f90:6229:24: 6229 | DO 60 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 60 at (1) module_ra_gfdleta.fppized.f90:6314:26: 6314 | DO 200 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 200 at (1) module_ra_gfdleta.fppized.f90:6319:24: 6319 | DO 220 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 220 at (1) module_ra_gfdleta.fppized.f90:6338:24: 6338 | DO 240 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 240 at (1) module_ra_gfdleta.fppized.f90:6424:26: 6424 | DO 290 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 290 at (1) module_ra_gfdleta.fppized.f90:6469:26: 6469 | DO 330 I=MYIS,MYIE | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 330 at (1) module_ra_gfdleta.fppized.f90:7569:19: 7569 | DO 205 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 205 at (1) module_ra_gfdleta.fppized.f90:7573:19: 7573 | DO 207 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 207 at (1) module_ra_gfdleta.fppized.f90:7616:19: 7616 | DO 221 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 221 at (1) module_ra_gfdleta.fppized.f90:7620:19: 7620 | DO 223 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 223 at (1) module_ra_gfdleta.fppized.f90:7624:19: 7624 | DO 225 I=1,27 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 225 at (1) module_ra_gfdleta.fppized.f90:7703:19: 7703 | DO 401 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 401 at (1) module_ra_gfdleta.fppized.f90:7727:22: 7727 | DO 419 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 419 at (1) module_ra_gfdleta.fppized.f90:7732:22: 7732 | DO 421 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 421 at (1) module_ra_gfdleta.fppized.f90:7739:22: 7739 | DO 420 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 420 at (1) module_ra_gfdleta.fppized.f90:7745:19: 7745 | DO 431 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 431 at (1) module_ra_gfdleta.fppized.f90:7750:19: 7750 | DO 433 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 433 at (1) module_ra_gfdleta.fppized.f90:7754:19: 7754 | DO 441 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 441 at (1) module_ra_gfdleta.fppized.f90:7758:19: 7758 | DO 443 I=1,27 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 443 at (1) module_ra_gfdleta.fppized.f90:7768:19: 7768 | DO 449 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 449 at (1) module_ra_gfdleta.fppized.f90:7772:19: 7772 | DO 451 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 451 at (1) module_ra_gfdleta.fppized.f90:7776:19: 7776 | DO 453 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 453 at (1) module_ra_gfdleta.fppized.f90:7782:19: 7782 | DO 501 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 501 at (1) module_ra_gfdleta.fppized.f90:7786:19: 7786 | DO 503 I=1,28 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 503 at (1) module_ra_gfdleta.fppized.f90:8041:33: 8041 | IF(ABS(EXPO)-50.0) 23,23,24 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:8048:28: 8048 | 25 IF(EXPP-50.0) 27,27,28 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:8241:22: 8241 | DO 300 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 300 at (1) module_ra_gfdleta.fppized.f90:8250:22: 8250 | DO 301 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 301 at (1) module_ra_gfdleta.fppized.f90:8302:21: 8302 | DO 1021 J=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1021 at (1) module_ra_gfdleta.fppized.f90:8317:18: 8317 | DO 1 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_ra_gfdleta.fppized.f90:8340:21: 8340 | DO 400 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 400 at (1) module_ra_gfdleta.fppized.f90:8782:21: 8782 | DO 805 J=1,NLP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 805 at (1) module_ra_gfdleta.fppized.f90:8795:20: 8795 | DO 47 J=I,NLP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 47 at (1) module_ra_gfdleta.fppized.f90:8816:22: 8816 | DO 304 I=1,NLP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 304 at (1) module_ra_gfdleta.fppized.f90:8846:22: 8846 | DO 300 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 300 at (1) module_ra_gfdleta.fppized.f90:8855:22: 8855 | DO 301 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 301 at (1) module_ra_gfdleta.fppized.f90:8898:21: 8898 | DO 1021 J=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1021 at (1) module_ra_gfdleta.fppized.f90:8913:18: 8913 | DO 1 I=1,LP1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1 at (1) module_ra_gfdleta.fppized.f90:9026:60: 9026 | 505 PRESS(N)=PRSINT(N)+0.25*(NQ-1)*(PRSINT(N-1)-PRSINT(N)) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 505 at (1) module_ra_gfdleta.fppized.f90:9312:28: 9312 | IF (P2-PA(L)) 65,65,70 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:9319:28: 9319 | IF (P1-PA(L)) 75,75,80 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:9335:30: 9335 | IF (PETA-PA(L)) 85,85,90 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:9346:26: 9346 | IF (I-J) 126,126,127 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:9380:28: 9380 | IF (I-J-2) 350,350,355 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) module_ra_gfdleta.fppized.f90:8767:37: 8767 | CALL wrf_dm_bcast_bytes ( TRANSA , size ( TRANSA ) * 4 ) | 1 ...... 9546 | CALL wrf_dm_bcast_bytes ( etarad_unit61 , 4 ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_gsfcsw.F90 -o module_ra_gsfcsw.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_gsfcsw.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ra_gsfcsw.fppized.f90 module_ra_gsfcsw.fppized.f90:2622:20: 2622 | do 10 im=itm,2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 10 at (1) module_ra_gsfcsw.fppized.f90:2675:20: 2675 | do 20 im=itm,2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 20 at (1) module_ra_gsfcsw.fppized.f90:2717:21: 2717 | do 100 im=itm,2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_ra_gsfcsw.fppized.f90:2741:21: 2741 | do 100 is=itm,2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_hs.F90 -o module_ra_hs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_hs.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ra_hs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_rrtm.F90 -o module_ra_rrtm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_rrtm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ra_rrtm.fppized.f90 module_ra_rrtm.fppized.f90:2473:83: 2473 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:2487:83: 2487 | DO 3000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3000 at (1) module_ra_rrtm.fppized.f90:2565:83: 2565 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:2579:83: 2579 | DO 3000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3000 at (1) module_ra_rrtm.fppized.f90:2650:83: 2650 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:2664:83: 2664 | DO 3000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 3000 at (1) module_ra_rrtm.fppized.f90:2812:83: 2812 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:2993:83: 2993 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:3222:83: 3222 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:3280:83: 3280 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:3412:83: 3412 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:3470:83: 3470 | DO 2000 JTJT = 1,5 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) module_ra_rrtm.fppized.f90:3915:81: 3915 | 1100 WKL(ISP,ILAY) = 0.0 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 1100 at (1) module_ra_rrtm.fppized.f90:3917:81: 3917 | 1200 WX(ISP,ILAY) = 0.0 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 1200 at (1) module_ra_rrtm.fppized.f90:6853:35: 6791 | CALL wrf_dm_bcast_bytes ( rrtm_unit , 4 ) | 2 ...... 6853 | CALL wrf_dm_bcast_bytes ( abscoefL1 , size ( abscoefL1 ) * 4 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_sw.F90 -o module_ra_sw.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_sw.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ra_sw.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_bep.F90 -o module_sf_bep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_urban.F90 -o module_sf_urban.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_urban.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_urban.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_bep.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_bep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_bep_bem.F90 -o module_sf_bep_bem.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_bem.F90 -o module_sf_bem.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_bem.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_bem.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_bep_bem.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_bep_bem.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_clm.F90 -o module_sf_clm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_clm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_clm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_gfdl.F90 -o module_sf_gfdl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_gfdl.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_gfdl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_lake.F90 -o module_sf_lake.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_lake.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_lake.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_myjsfc.F90 -o module_sf_myjsfc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_myjsfc.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_myjsfc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_mynn.F90 -o module_sf_mynn.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_sfclay.F90 -o module_sf_sfclay.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_sfclay.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_sfclay.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_mynn.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_mynn.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahdrv.F90 -o module_sf_noahdrv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_data_gocart_dust.F90 -o module_data_gocart_dust.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_data_gocart_dust.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_data_gocart_dust.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahlsm.F90 -o module_sf_noahlsm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahlsm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_noahlsm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahlsm_glacial_only.F90 -o module_sf_noahlsm_glacial_only.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahlsm_glacial_only.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_noahlsm_glacial_only.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahdrv.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_noahdrv.fppized.f90 module_sf_noahdrv.fppized.f90:1693:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 1693 | CALL wrf_dm_bcast_real ( NROTBL , NLUS ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_sf_noahdrv.fppized.f90:1709:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1709 | CALL wrf_dm_bcast_real ( TOPT_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1710:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1710 | CALL wrf_dm_bcast_real ( CMCMAX_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1711:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1711 | CALL wrf_dm_bcast_real ( CFACTR_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1712:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1712 | CALL wrf_dm_bcast_real ( RSMAX_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1845:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1845 | CALL wrf_dm_bcast_real ( SBETA_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1846:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1846 | CALL wrf_dm_bcast_real ( FXEXP_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1847:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1847 | CALL wrf_dm_bcast_real ( CSOIL_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1848:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1848 | CALL wrf_dm_bcast_real ( SALP_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1849:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1849 | CALL wrf_dm_bcast_real ( REFDK_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1850:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1850 | CALL wrf_dm_bcast_real ( REFKDT_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1851:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1851 | CALL wrf_dm_bcast_real ( FRZK_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1852:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1852 | CALL wrf_dm_bcast_real ( ZBOT_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1853:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1853 | CALL wrf_dm_bcast_real ( CZIL_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1854:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1854 | CALL wrf_dm_bcast_real ( SMLOW_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1855:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1855 | CALL wrf_dm_bcast_real ( SMHIGH_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_noahdrv.fppized.f90:1856:34: 1692 | CALL wrf_dm_bcast_real ( SHDTBL , NLUS ) | 2 ...... 1856 | CALL wrf_dm_bcast_real ( LVCOEF_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahmpdrv.F90 -o module_sf_noahmpdrv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noah_seaice.F90 -o module_sf_noah_seaice.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noah_seaice.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_noah_seaice.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahmp_glacier.F90 -o module_sf_noahmp_glacier.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahmp_glacier.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_noahmp_glacier.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahmp_groundwater.F90 -o module_sf_noahmp_groundwater.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahmp_groundwater.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_noahmp_groundwater.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noahmplsm.F90 -o module_sf_noahmplsm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahmplsm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_noahmplsm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noahmpdrv.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_noahmpdrv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_oml.F90 -o module_sf_oml.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_oml.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_oml.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_pxlsm.F90 -o module_sf_pxlsm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_pxlsm_data.F90 -o module_sf_pxlsm_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_pxlsm_data.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_pxlsm_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_pxlsm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_pxlsm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_pxsfclay.F90 -o module_sf_pxsfclay.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_pxsfclay.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_pxsfclay.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_qnsesfc.F90 -o module_sf_qnsesfc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_qnsesfc.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_qnsesfc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_ruclsm.F90 -o module_sf_ruclsm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_ruclsm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_ruclsm.fppized.f90 module_sf_ruclsm.fppized.f90:4592:31: 4592 | *DELT)/DENOM | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 330 at (1) module_sf_ruclsm.fppized.f90:5922:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 5922 | CALL wrf_dm_bcast_real ( IFORTBL , NLUS ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(4)). module_sf_ruclsm.fppized.f90:5929:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 5929 | CALL wrf_dm_bcast_real ( TOPT_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:5930:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 5930 | CALL wrf_dm_bcast_real ( CMCMAX_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:5931:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 5931 | CALL wrf_dm_bcast_real ( CFACTR_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:5932:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 5932 | CALL wrf_dm_bcast_real ( RSMAX_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6055:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6055 | CALL wrf_dm_bcast_real ( SBETA_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6056:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6056 | CALL wrf_dm_bcast_real ( FXEXP_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6057:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6057 | CALL wrf_dm_bcast_real ( CSOIL_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6058:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6058 | CALL wrf_dm_bcast_real ( SALP_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6059:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6059 | CALL wrf_dm_bcast_real ( REFDK_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6060:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6060 | CALL wrf_dm_bcast_real ( REFKDT_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6061:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6061 | CALL wrf_dm_bcast_real ( FRZK_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6062:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6062 | CALL wrf_dm_bcast_real ( ZBOT_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6063:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6063 | CALL wrf_dm_bcast_real ( CZIL_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6064:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6064 | CALL wrf_dm_bcast_real ( SMLOW_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) module_sf_ruclsm.fppized.f90:6065:34: 5917 | CALL wrf_dm_bcast_real ( ALBTBL , NLUS ) | 2 ...... 6065 | CALL wrf_dm_bcast_real ( SMHIGH_DATA , 1 ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_sfclayrev.F90 -o module_sf_sfclayrev.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_sfclayrev.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_sfclayrev.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_slab.F90 -o module_sf_slab.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_slab.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_slab.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_temfsfclay.F90 -o module_sf_temfsfclay.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_temfsfclay.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_temfsfclay.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_shcu_camuwshcu.F90 -o module_shcu_camuwshcu.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_shcu_camuwshcu.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_shcu_camuwshcu.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_shcu_grims.F90 -o module_shcu_grims.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_shcu_grims.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_shcu_grims.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_wind_fitch.F90 -o module_wind_fitch.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_wind_fitch.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_wind_fitch.fppized.f90 module_wind_fitch.fppized.f90:512:34: 374 | CALL wrf_dm_bcast_integer(nt,1) | 2 ...... 512 | CALL wrf_dm_bcast_integer(ival,nt*max_domains) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) module_wind_fitch.fppized.f90:513:34: 374 | CALL wrf_dm_bcast_integer(nt,1) | 2 ...... 513 | CALL wrf_dm_bcast_integer(jval,nt*max_domains) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) module_wind_fitch.fppized.f90:520:34: 374 | CALL wrf_dm_bcast_integer(nt,1) | 2 ...... 520 | CALL wrf_dm_bcast_integer(nkind,nt) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_physics_init.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_physics_init.fppized.f90 module_physics_init.fppized.f90:1351:33: 1348 | CALL wrf_dm_bcast_bytes (end_of_file, 4 ) | 2 ...... 1351 | CALL wrf_dm_bcast_bytes (lucats, 4 ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_stoch.F90 -o module_stoch.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_stoch.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_stoch.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradb2.F90 -o mradb2.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradb2.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mradb2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradb3.F90 -o mradb3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradb3.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mradb3.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradb4.F90 -o mradb4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradb4.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mradb4.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradb5.F90 -o mradb5.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradb5.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mradb5.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradbg.F90 -o mradbg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradbg.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mradbg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradf2.F90 -o mradf2.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradf2.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mradf2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradf3.F90 -o mradf3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradf3.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mradf3.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradf4.F90 -o mradf4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradf4.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mradf4.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradf5.F90 -o mradf5.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradf5.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mradf5.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mradfg.F90 -o mradfg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mradfg.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mradfg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mrftb1.F90 -o mrftb1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrftb1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mrftb1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mrftf1.F90 -o mrftf1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrftf1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mrftf1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mrfti1.F90 -o mrfti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrfti1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mrfti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o my_strtok.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 my_strtok.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/attr.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/attr.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/dim.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/dim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/error.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/error.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-attio.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-attio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-control.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-control.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-dim.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-dim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-genatt.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-genatt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-geninq.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-geninq.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-genvar.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-genvar.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-lib.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-lib.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-misc.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-misc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varaio.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varaio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/libvers.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/libvers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/nc.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/nc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/ncio.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/ncio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/ncx.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/ncx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/putget.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/putget.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/string.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/string.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/v1hpg.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/v1hpg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/v2i.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/v2i.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/var.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/var.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_0_routines.F90 -o nl_get_0_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_0_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nl_get_0_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_1_routines.F90 -o nl_get_1_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_1_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nl_get_1_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_2_routines.F90 -o nl_get_2_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_2_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nl_get_2_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_3_routines.F90 -o nl_get_3_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_3_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nl_get_3_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_4_routines.F90 -o nl_get_4_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_4_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nl_get_4_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_5_routines.F90 -o nl_get_5_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_5_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nl_get_5_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_6_routines.F90 -o nl_get_6_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_6_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nl_get_6_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_get_7_routines.F90 -o nl_get_7_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_get_7_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nl_get_7_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_set_0_routines.F90 -o nl_set_0_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_set_0_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nl_set_0_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_set_1_routines.F90 -o nl_set_1_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_set_1_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nl_set_1_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_set_2_routines.F90 -o nl_set_2_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_set_2_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nl_set_2_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_set_7_routines.F90 -o nl_set_7_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_set_7_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nl_set_7_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 output_wrf.F90 -o output_wrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o output_wrf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp output_wrf.fppized.f90 output_wrf.fppized.f90:291:47: 287 | call nl_get_aer_ssa_opt ( grid%id, aer_ssa_opt ) | 2 ...... 291 | call nl_get_aer_ssa_opt ( grid%id, aer_ssa_val ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). output_wrf.fppized.f90:292:47: 288 | call nl_get_aer_asy_opt ( grid%id, aer_asy_opt ) | 2 ...... 292 | call nl_get_aer_asy_opt ( grid%id, aer_asy_val ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). output_wrf.fppized.f90:933:36: 909 | p%rfield_0d , & ! Field | 2 ...... 933 | p%dfield_0d , & ! Field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/REAL(4)). output_wrf.fppized.f90:1015:36: 909 | p%rfield_0d , & ! Field | 2 ...... 1015 | p%rfield_1d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) output_wrf.fppized.f90:1125:36: 909 | p%rfield_0d , & ! Field | 2 ...... 1125 | p%rfield_2d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) output_wrf.fppized.f90:1235:36: 909 | p%rfield_0d , & ! Field | 2 ...... 1235 | p%rfield_3d , & ! Field | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) output_wrf.fppized.f90:1350:36: 1323 | p%rfield_4d , & ! Field | 2 ...... 1350 | p%dfield_4d , & ! Field | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/REAL(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pack_utils.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 pack_utils.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o period.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 period.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r4_factor.F90 -o r4_factor.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r4_factor.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r4_factor.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r4_mcfti1.F90 -o r4_mcfti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r4_mcfti1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r4_mcfti1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r4_tables.F90 -o r4_tables.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r4_tables.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r4_tables.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reg_parse.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 reg_parse.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfftmb.F90 -o rfftmb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfftmb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp rfftmb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfftmf.F90 -o rfftmf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfftmf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp rfftmf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfftmi.F90 -o rfftmi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfftmi.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp rfftmi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o rsl_bcast.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 rsl_bcast.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 sint.F90 -o sint.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sint.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp sint.fppized.f90 sint.fppized.f90:191:72: 191 | DO 925 II=N1STAR,N1END | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 925 at (1) sint.fppized.f90:369:72: 369 | DO 925 II=N1STAR,N1END | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 925 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 start_domain.F90 -o start_domain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o start_domain.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp start_domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 start_em.F90 -o start_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o start_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp start_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o symtab_gen.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 symtab_gen.c symtab_gen.c: In function ‘create_ht’: symtab_gen.c:40:18: warning: ‘calloc’ argument 1 type is ‘int’ where ‘long unsigned int’ is expected in a call to built-in function declared without prototype [-Wbuiltin-declaration-mismatch] 40 | #define HASHSIZE 1024 | ^~~~ symtab_gen.c:120:28: note: in expansion of macro ‘HASHSIZE’ 120 | *p = (char **) calloc( HASHSIZE , sizeof( char * ) ) ; | ^~~~~~~~ symtab_gen.c:47:8: note: built-in ‘calloc’ declared here 47 | void * calloc() ; | ^~~~~~ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o task_for_point.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 task_for_point.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 track_driver.F90 -o track_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o track_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp track_driver.fppized.f90 track_driver.fppized.f90:306:53: 298 | astat = NF_DEF_VAR(ncid,'Times', NF_CHAR, 2, var_dim(1:2), var_id) | 2 ...... 306 | astat = NF_DEF_VAR(ncid, 'lat' , NF_REAL, 1, time_dim, var_id ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) track_driver.fppized.f90:312:53: 298 | astat = NF_DEF_VAR(ncid,'Times', NF_CHAR, 2, var_dim(1:2), var_id) | 2 ...... 312 | astat = NF_DEF_VAR(ncid, 'lon' , NF_REAL, 1, time_dim, var_id ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) track_driver.fppized.f90:318:55: 298 | astat = NF_DEF_VAR(ncid,'Times', NF_CHAR, 2, var_dim(1:2), var_id) | 2 ...... 318 | astat = NF_DEF_VAR(ncid, 'grid_i' , NF_INT, 1, time_dim, var_id ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) track_driver.fppized.f90:324:55: 298 | astat = NF_DEF_VAR(ncid,'Times', NF_CHAR, 2, var_dim(1:2), var_id) | 2 ...... 324 | astat = NF_DEF_VAR(ncid, 'grid_j' , NF_INT, 1, time_dim, var_id ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) track_driver.fppized.f90:330:53: 298 | astat = NF_DEF_VAR(ncid,'Times', NF_CHAR, 2, var_dim(1:2), var_id) | 2 ...... 330 | astat = NF_DEF_VAR(ncid, 'ele' , NF_REAL, 1, time_dim, var_id ) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 track_input.F90 -o track_input.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o track_input.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp track_input.fppized.f90 track_input.fppized.f90:225:30: 224 | CALL wrf_dm_bcast_real(grid%track_time_in, grid%track_loc) | 2 225 | CALL wrf_dm_bcast_real(grid%track_lat_in, grid%track_loc) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/CHARACTER(*)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o type.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 type.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_bdyin.F90 -o wrf_bdyin.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_bdyin.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp wrf_bdyin.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_bdyout.F90 -o wrf_bdyout.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_bdyout.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp wrf_bdyout.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_debug.F90 -o wrf_debug.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_debug.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp wrf_debug.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_ext_read_field.F90 -o wrf_ext_read_field.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_ext_read_field.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp wrf_ext_read_field.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_ext_write_field.F90 -o wrf_ext_write_field.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_ext_write_field.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp wrf_ext_write_field.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wrf_num_bytes_between.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wrf_num_bytes_between.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_timeseries.F90 -o wrf_timeseries.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_timeseries.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp wrf_timeseries.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_tsin.F90 -o wrf_tsin.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_tsin.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp wrf_tsin.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 xercon.F90 -o xercon.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o xercon.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp xercon.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 xerfft.F90 -o xerfft.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o xerfft.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp xerfft.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp -DSPEC_OPENMP ESMF_Alarm.fppized.o ESMF_AlarmClock.fppized.o ESMF_Base.fppized.o ESMF_BaseTime.fppized.o ESMF_Calendar.fppized.o ESMF_Clock.fppized.o ESMF_Mod.fppized.o ESMF_Stubs.fppized.o ESMF_Time.fppized.o ESMF_TimeInterval.fppized.o Meat.fppized.o adapt_timestep_em.fppized.o c1f2kb.fppized.o c1f3kb.fppized.o c1f4kb.fppized.o c1f5kb.fppized.o c1fgkb.fppized.o c1fm1b.fppized.o c_code.o cfft1b.fppized.o cfft1i.fppized.o collect_on_comm.o couple_or_uncouple_em.fppized.o data.o diffwrf.fppized.o field_routines.fppized.o hires_timer.o input_wrf.fppized.o interp_fcn.fppized.o io_int.fppized.o libmassv.fppized.o mediation_wrfmain.fppized.o misc.o module_alloc_space_0.fppized.o module_alloc_space_1.fppized.o module_alloc_space_2.fppized.o module_alloc_space_3.fppized.o module_alloc_space_4.fppized.o module_alloc_space_5.fppi zed.o module_alloc_space_6.fppized.o module_alloc_space_7.fppized.o module_alloc_space_8.fppized.o module_alloc_space_9.fppized.o module_avgflx_em.fppized.o module_bc.fppized.o module_bc_em.fppized.o module_bc_time_utilities.fppized.o module_bl_acm.fppized.o module_bl_boulac.fppized.o module_bl_camuwpbl_driver.fppized.o module_bl_gbmpbl.fppized.o module_bl_gfs.fppized.o module_bl_gfs2011.fppized.o module_bl_mfshconvpbl.fppized.o module_bl_mrf.fppized.o module_bl_myjpbl.fppized.o module_bl_myjurb.fppized.o module_bl_mynn.fppized.o module_bl_qnsepbl.fppized.o module_bl_qnsepbl09.fppized.o module_bl_temf.fppized.o module_bl_ysu.fppized.o module_cam_bl_diffusion_solver.fppized.o module_cam_bl_eddy_diff.fppized.o module_cam_cldwat.fppized.o module_cam_constituents.fppized.o module_cam_error_function.fppized.o module_cam_esinti.fppized.o module_cam_gffgch.fppized.o module_cam_molec_diff.fppized.o module_cam_mp_cldwat2m_micro.fppized.o module_cam_mp_conv_water.fppized.o module_cam_mp_microp_aero.fppized.o module _cam_mp_modal_aero_initialize_data_phys.fppized.o module_cam_mp_ndrop.fppized.o module_cam_mp_qneg3.fppized.o module_cam_mp_radconstants.fppized.o module_cam_physconst.fppized.o module_cam_support.fppized.o module_cam_trb_mtn_stress.fppized.o module_cam_upper_bc.fppized.o module_cam_wv_saturation.fppized.o module_comm_dm.fppized.o module_comm_dm_0.fppized.o module_comm_dm_1.fppized.o module_comm_dm_2.fppized.o module_comm_dm_3.fppized.o module_comm_dm_4.fppized.o module_comm_nesting_dm.fppized.o module_configure.fppized.o module_cpl.fppized.o module_cpl_oasis3.fppized.o module_cu_bmj.fppized.o module_cu_camzm.fppized.o module_cu_camzm_driver.fppized.o module_cu_g3.fppized.o module_cu_gd.fppized.o module_cu_kf.fppized.o module_cu_kfeta.fppized.o module_cu_mesosas.fppized.o module_cu_nsas.fppized.o module_cu_osas.fppized.o module_cu_sas.fppized.o module_cu_tiedtke.fppized.o module_data_cam_mam_aero.fppized.o module_date_time.fppized.o module_diag_pld.fppized.o module_dm.fppized.o module_domain.fppized.o mod ule_domain_type.fppized.o module_driver_constants.fppized.o module_fdda_psufddagd.fppized.o module_fdda_spnudging.fppized.o module_fddaobs_rtfdda.fppized.o module_fr_fire_atm.fppized.o module_fr_fire_core.fppized.o module_fr_fire_driver.fppized.o module_fr_fire_driver_wrf.fppized.o module_fr_fire_model.fppized.o module_fr_fire_phys.fppized.o module_fr_fire_util.fppized.o module_gfs_funcphys.fppized.o module_internal_header_util.fppized.o module_io.fppized.o module_io_domain.fppized.o module_io_quilt.fppized.o module_io_wrf.fppized.o module_lightning_driver.fppized.o module_llxy.fppized.o module_ltng_cpmpr92z.fppized.o module_ltng_crmpr92.fppized.o module_ltng_iccg.fppized.o module_ltng_lpi.fppized.o module_machine.fppized.o module_model_constants.fppized.o module_mp_cammgmp_driver.fppized.o module_mp_etanew.fppized.o module_mp_etaold.fppized.o module_mp_fast_sbm.fppized.o module_mp_full_sbm.fppized.o module_mp_milbrandt2mom.fppized.o module_mp_morr_two_moment.fppized.o module_mp_nssl_2mom.fppized.o module _mp_radar.fppized.o module_mp_thompson.fppized.o module_mp_wdm5.fppized.o module_mp_wdm6.fppized.o module_mp_wsm3.fppized.o module_mp_wsm5.fppized.o module_mp_wsm6.fppized.o module_physics_init.fppized.o module_ra_cam.fppized.o module_ra_cam_support.fppized.o module_ra_clWRF_support.fppized.o module_ra_gfdleta.fppized.o module_ra_gsfcsw.fppized.o module_ra_hs.fppized.o module_ra_rrtm.fppized.o module_ra_sw.fppized.o module_sf_bem.fppized.o module_sf_bep.fppized.o module_sf_bep_bem.fppized.o module_sf_lake.fppized.o module_sf_myjsfc.fppized.o module_sf_mynn.fppized.o module_sf_noah_seaice.fppized.o module_sf_noahdrv.fppized.o module_sf_noahlsm.fppized.o module_sf_noahlsm_glacial_only.fppized.o module_sf_noahmp_glacier.fppized.o module_sf_noahmp_groundwater.fppized.o module_sf_noahmpdrv.fppized.o module_sf_noahmplsm.fppized.o module_sf_oml.fppized.o module_sf_pxlsm.fppized.o module_sf_pxlsm_data.fppized.o module_sf_pxsfclay.fppized.o module_sf_qnsesfc.fppized.o module_sf_ruclsm.fppized.o module_sf_sfclay.fp pized.o module_sf_sfclayrev.fppized.o module_sf_slab.fppized.o module_sf_temfsfclay.fppized.o module_sf_urban.fppized.o module_shcu_camuwshcu.fppized.o module_shcu_grims.fppized.o module_state_description.fppized.o module_stoch.fppized.o module_streams.fppized.o module_symbols_util.fppized.o module_tiles.fppized.o module_timing.fppized.o module_utility.fppized.o module_wind_fitch.fppized.o module_wrf_error.fppized.o mradb2.fppized.o mradb3.fppized.o mradb4.fppized.o mradb5.fppized.o mradbg.fppized.o mradf2.fppized.o mradf3.fppized.o mradf4.fppized.o mradf5.fppized.o mradfg.fppized.o mrftb1.fppized.o mrftf1.fppized.o mrfti1.fppized.o my_strtok.o netcdf/attr.o netcdf/dim.o netcdf/error.o netcdf/fort-attio.o netcdf/fort-control.o netcdf/fort-dim.o netcdf/fort-genatt.o netcdf/fort-geninq.o netcdf/fort-genvar.o netcdf/fort-lib.o netcdf/fort-misc.o netcdf/fort-varaio.o netcdf/libvers.o netcdf/nc.o netcdf/ncio.o netcdf/ncx.o netcdf/putget.o netcdf/string.o netcdf/v1hpg.o netcdf/v2i.o netcdf/var.o nl_get_0_routin es.fppized.o nl_get_1_routines.fppized.o nl_get_2_routines.fppized.o nl_get_3_routines.fppized.o nl_get_4_routines.fppized.o nl_get_5_routines.fppized.o nl_get_6_routines.fppized.o nl_get_7_routines.fppized.o nl_set_0_routines.fppized.o nl_set_1_routines.fppized.o nl_set_2_routines.fppized.o nl_set_7_routines.fppized.o output_wrf.fppized.o pack_utils.o period.o r4_factor.fppized.o r4_mcfti1.fppized.o r4_tables.fppized.o reg_parse.o rfftmb.fppized.o rfftmf.fppized.o rfftmi.fppized.o rsl_bcast.o sint.fppized.o start_domain.fppized.o start_em.fppized.o symtab_gen.o task_for_point.o track_driver.fppized.o track_input.fppized.o type.o wrf_bdyin.fppized.o wrf_bdyout.fppized.o wrf_debug.fppized.o wrf_ext_read_field.fppized.o wrf_ext_write_field.fppized.o wrf_io.fppized.o wrf_num_bytes_between.o wrf_timeseries.fppized.o wrf_tsin.fppized.o xercon.fppized.o xerfft.fppized.o -o diffwrf_621 output_wrf.fppized.f90:927:26: warning: type of ‘wrf_ext_write_field’ does not match original declaration [-Wlto-type-mismatch] 927 | ierr ) | ^ wrf_ext_write_field.fppized.f90:83:32: note: ‘wrf_ext_write_field’ was previously declared here 83 | SUBROUTINE wrf_ext_write_field(DataHandle,DateStr,Var,Field,FieldType,Comm,IOComm, & | ^ wrf_ext_write_field.fppized.f90:83:32: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used output_wrf.fppized.f90:1344:27: warning: type of ‘wrf_ext_write_field_arr’ does not match original declaration [-Wlto-type-mismatch] 1344 | ierr ) | ^ wrf_ext_write_field.fppized.f90:2:36: note: ‘wrf_ext_write_field_arr’ was previously declared here 2 | SUBROUTINE wrf_ext_write_field_arr(DataHandle,DateStr,Var & | ^ wrf_ext_write_field.fppized.f90:2:36: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:7060:59: warning: type of ‘nf_get_vara_text’ does not match original declaration [-Wlto-type-mismatch] 7060 | stat = NF_GET_VARA_TEXT (NCID,VarID,VStart,VCount,Data) | ^ netcdf/fort-varaio.c:17:1: note: type mismatch in parameter 6 17 | FCALLSCFUN5(NF_INT, nc_get_vara_text, NF_GET_VARA_TEXT, nf_get_vara_text, | ^ netcdf/fort-varaio.c:17:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varaio.c:17:1: note: ‘nf_get_vara_text_’ was previously declared here netcdf/fort-varaio.c:17:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_driver.fppized.f90:447:84: warning: type of ‘nf_put_vara_text’ does not match original declaration [-Wlto-type-mismatch] 447 | astat = NF_PUT_VARA_TEXT(ncid,var_id,start,count,grid%track_time_domain(m)) | ^ netcdf/fort-varaio.c:10:1: note: type mismatch in parameter 6 10 | FCALLSCFUN5(NF_INT, nc_put_vara_text, NF_PUT_VARA_TEXT, nf_put_vara_text, | ^ netcdf/fort-varaio.c:10:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varaio.c:10:1: note: ‘nf_put_vara_text_’ was previously declared here netcdf/fort-varaio.c:10:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:673:30: warning: type of ‘nf_strerror’ does not match original declaration [-Wlto-type-mismatch] 673 | errmsg = NF_STRERROR(err) | ^ netcdf/fort-misc.c:14:1: note: type mismatch in parameter 2 14 | FCALLSCFUN1(STRING, (char*)nc_strerror, NF_STRERROR, nf_strerror, | ^ netcdf/fort-misc.c:14:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-misc.c:14:1: note: ‘nf_strerror_’ was previously declared here netcdf/fort-misc.c:14:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:1614:41: warning: type of ‘nf_inq_varname’ does not match original declaration [-Wlto-type-mismatch] 1614 | stat = NF_INQ_VARNAME(DH%NCID,i,Name) | ^ netcdf/fort-genvar.c:30:1: note: type mismatch in parameter 4 30 | FCALLSCFUN3(NF_INT, nc_inq_varname, NF_INQ_VARNAME, nf_inq_varname, | ^ netcdf/fort-genvar.c:30:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:30:1: note: ‘nf_inq_varname_’ was previously declared here netcdf/fort-genvar.c:30:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_driver.fppized.f90:444:47: warning: type of ‘nf_inq_varid’ does not match original declaration [-Wlto-type-mismatch] 444 | astat = NF_INQ_VARID(ncid,'Times',var_id) | ^ netcdf/fort-genvar.c:23:1: note: type mismatch in parameter 4 23 | FCALLSCFUN3(NF_INT, nc_inq_varid, NF_INQ_VARID, nf_inq_varid, | ^ netcdf/fort-genvar.c:23:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:23:1: note: ‘nf_inq_varid_’ was previously declared here netcdf/fort-genvar.c:23:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:7001:64: warning: type of ‘nf_inq_var’ does not match original declaration [-Wlto-type-mismatch] 7001 | stat = NF_INQ_VAR(NCID,VarID,FName,XType,NDims,DimIDs,NAtts) | ^ netcdf/fort-genvar.c:16:1: note: type mismatch in parameter 8 16 | FCALLSCFUN7(NF_INT, nc_inq_var, NF_INQ_VAR, nf_inq_var, | ^ netcdf/fort-genvar.c:16:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:16:1: note: ‘nf_inq_var_’ was previously declared here netcdf/fort-genvar.c:16:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_driver.fppized.f90:298:72: warning: type of ‘nf_def_var’ does not match original declaration [-Wlto-type-mismatch] 298 | astat = NF_DEF_VAR(ncid,'Times', NF_CHAR, 2, var_dim(1:2), var_id) | ^ netcdf/fort-genvar.c:9:1: note: type mismatch in parameter 7 9 | FCALLSCFUN6(NF_INT, nc_def_var, NF_DEF_VAR, nf_def_var, | ^ netcdf/fort-genvar.c:9:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:9:1: note: ‘nf_def_var_’ was previously declared here netcdf/fort-genvar.c:9:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:5308:71: warning: type of ‘nf_inq_att’ does not match original declaration [-Wlto-type-mismatch] 5308 | stat = NF_INQ_ATT(DH%NCID,DH%VarIDs(NVar),trim(Element),XType,XLen) | ^ netcdf/fort-genatt.c:8:1: note: type mismatch in parameter 6 8 | FCALLSCFUN5(NF_INT, nc_inq_att, NF_INQ_ATT, nf_inq_att, | ^ netcdf/fort-genatt.c:8:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:8:1: note: ‘nf_inq_att_’ was previously declared here netcdf/fort-genatt.c:8:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:7673:52: warning: type of ‘nf_inq_dimname’ does not match original declaration [-Wlto-type-mismatch] 7673 | stat = NF_INQ_DIMNAME(NCID,VDimIDs(1),dimname) | ^ netcdf/fort-dim.c:29:1: note: type mismatch in parameter 4 29 | FCALLSCFUN3(NF_INT, nc_inq_dimname, NF_INQ_DIMNAME, nf_inq_dimname, | ^ netcdf/fort-dim.c:29:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:29:1: note: ‘nf_inq_dimname_’ was previously declared here netcdf/fort-dim.c:29:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_driver.fppized.f90:287:71: warning: type of ‘nf_def_dim’ does not match original declaration [-Wlto-type-mismatch] 287 | astat = NF_DEF_DIM(ncid, 'time' , NF_UNLIMITED , time_dim ) | ^ netcdf/fort-dim.c:8:1: note: type mismatch in parameter 5 8 | FCALLSCFUN4(NF_INT, nc_def_dim, NF_DEF_DIM, nf_def_dim, | ^ netcdf/fort-dim.c:8:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:8:1: note: ‘nf_def_dim_’ was previously declared here netcdf/fort-dim.c:8:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:1543:47: warning: type of ‘nf_open’ does not match original declaration [-Wlto-type-mismatch] 1543 | stat = NF_OPEN(FileName, NF_NOWRITE, DH%NCID) | ^ netcdf/fort-control.c:21:1: note: type mismatch in parameter 4 21 | FCALLSCFUN3(NF_INT, nc_open, NF_OPEN, nf_open, | ^ netcdf/fort-control.c:21:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:21:1: note: ‘nf_open_’ was previously declared here netcdf/fort-control.c:21:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_driver.fppized.f90:280:55: warning: type of ‘nf_create’ does not match original declaration [-Wlto-type-mismatch] 280 | astat = NF_CREATE(track_output, NF_CLOBBER, ncid) | ^ netcdf/fort-control.c:7:1: note: type mismatch in parameter 4 7 | FCALLSCFUN3(NF_INT, nc_create, NF_CREATE, nf_create, | ^ netcdf/fort-control.c:7:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:7:1: note: ‘nf_create_’ was previously declared here netcdf/fort-control.c:7:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:5720:76: warning: type of ‘nf_get_att_double’ does not match original declaration [-Wlto-type-mismatch] 5720 | stat = NF_GET_ATT_DOUBLE(DH%NCID,DH%VarIDs(NVar),trim(Element), Buffer ) | ^ netcdf/fort-attio.c:147:1: note: type mismatch in parameter 5 147 | FCALLSCFUN4(NF_INT, nc_get_att_double, NF_GET_ATT_DOUBLE, nf_get_att_double, | ^ netcdf/fort-attio.c:147:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:147:1: note: ‘nf_get_att_double_’ was previously declared here netcdf/fort-attio.c:147:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:3969:90: warning: type of ‘nf_put_att_double’ does not match original declaration [-Wlto-type-mismatch] 3969 | stat = NF_PUT_ATT_DOUBLE(DH%NCID,DH%VarIDs(NVar),trim(Element), NF_DOUBLE,Count,Data ) | ^ netcdf/fort-attio.c:140:1: note: type mismatch in parameter 7 140 | FCALLSCFUN6(NF_INT, nc_put_att_double, NF_PUT_ATT_DOUBLE, nf_put_att_double, | ^ netcdf/fort-attio.c:140:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:140:1: note: ‘nf_put_att_double_’ was previously declared here netcdf/fort-attio.c:140:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:5340:74: warning: type of ‘nf_get_att_real’ does not match original declaration [-Wlto-type-mismatch] 5340 | stat = NF_GET_ATT_REAL(DH%NCID,DH%VarIDs(NVar),trim(Element), Buffer ) | ^ netcdf/fort-attio.c:132:1: note: type mismatch in parameter 5 132 | FCALLSCFUN4(NF_INT, nc_get_att_float, NF_GET_ATT_REAL, nf_get_att_real, | ^ netcdf/fort-attio.c:132:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:132:1: note: ‘nf_get_att_real_’ was previously declared here netcdf/fort-attio.c:132:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:3648:87: warning: type of ‘nf_put_att_real’ does not match original declaration [-Wlto-type-mismatch] 3648 | stat = NF_PUT_ATT_REAL(DH%NCID,DH%VarIDs(NVar),trim(Element), NF_FLOAT,Count,Data ) | ^ netcdf/fort-attio.c:120:1: note: type mismatch in parameter 7 120 | FCALLSCFUN6(NF_INT, nc_put_att_float, NF_PUT_ATT_REAL, nf_put_att_real, | ^ netcdf/fort-attio.c:120:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:120:1: note: ‘nf_put_att_real_’ was previously declared here netcdf/fort-attio.c:120:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:6100:73: warning: type of ‘nf_get_att_int’ does not match original declaration [-Wlto-type-mismatch] 6100 | stat = NF_GET_ATT_INT(DH%NCID,DH%VarIDs(NVar),trim(Element), Buffer ) | ^ netcdf/fort-attio.c:105:1: note: type mismatch in parameter 5 105 | FCALLSCFUN4(NF_INT, nc_get_att_int, NF_GET_ATT_INT, nf_get_att_int, | ^ netcdf/fort-attio.c:105:1: note: type ‘unsigned int’ should match type ‘long int’ wrf_io.fppized.f90:7616:55: warning: type of ‘nf_get_att_int’ does not match original declaration [-Wlto-type-mismatch] 7616 | stat = NF_GET_ATT_INT(NCID,VarID,'FieldType',FType) | ^ netcdf/fort-attio.c:105:1: note: type mismatch in parameter 5 105 | FCALLSCFUN4(NF_INT, nc_get_att_int, NF_GET_ATT_INT, nf_get_att_int, | ^ netcdf/fort-attio.c:105:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:105:1: note: ‘nf_get_att_int_’ was previously declared here netcdf/fort-attio.c:105:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:4290:84: warning: type of ‘nf_put_att_int’ does not match original declaration [-Wlto-type-mismatch] 4290 | stat = NF_PUT_ATT_INT(DH%NCID,DH%VarIDs(NVar),trim(Element), NF_INT,Count,Data ) | ^ netcdf/fort-attio.c:93:1: note: type mismatch in parameter 7 93 | FCALLSCFUN6(NF_INT, nc_put_att_int, NF_PUT_ATT_INT, nf_put_att_int, | ^ netcdf/fort-attio.c:93:1: note: type ‘unsigned int’ should match type ‘long int’ wrf_io.fppized.f90:7415:68: warning: type of ‘nf_put_att_int’ does not match original declaration [-Wlto-type-mismatch] 7415 | stat = NF_PUT_ATT_INT(NCID,VarID,'FieldType',NF_INT,1,FieldType) | ^ netcdf/fort-attio.c:93:1: note: type mismatch in parameter 7 93 | FCALLSCFUN6(NF_INT, nc_put_att_int, NF_PUT_ATT_INT, nf_put_att_int, | ^ netcdf/fort-attio.c:93:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:93:1: note: ‘nf_put_att_int_’ was previously declared here netcdf/fort-attio.c:93:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:6859:72: warning: type of ‘nf_get_att_text’ does not match original declaration [-Wlto-type-mismatch] 6859 | stat = NF_GET_ATT_TEXT(DH%NCID,DH%VarIDs(NVar),trim(Element), Data ) | ^ netcdf/fort-attio.c:19:1: note: type mismatch in parameter 5 19 | FCALLSCFUN4(NF_INT, nc_get_att_text, NF_GET_ATT_TEXT, nf_get_att_text, | ^ netcdf/fort-attio.c:19:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:19:1: note: ‘nf_get_att_text_’ was previously declared here netcdf/fort-attio.c:19:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_driver.fppized.f90:307:91: warning: type of ‘nf_put_att_text’ does not match original declaration [-Wlto-type-mismatch] 307 | astat = NF_PUT_ATT_TEXT(ncid,var_id,'description', len_trim(description),description) | ^ netcdf/fort-attio.c:12:1: note: type mismatch in parameter 6 12 | FCALLSCFUN5(NF_INT, nc_put_att_text, NF_PUT_ATT_TEXT, nf_put_att_text, | ^ netcdf/fort-attio.c:12:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:12:1: note: ‘nf_put_att_text_’ was previously declared here netcdf/fort-attio.c:12:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used output_wrf.fppized.f90:1439:61: warning: type of ‘get_nio_tasks_in_group’ does not match original declaration [-Wlto-type-mismatch] 1439 | CALL get_nio_tasks_in_group ( nio_tasks_per_group ) | ^ module_io_quilt.fppized.f90:985:33: note: ‘get_nio_tasks_in_group’ was previously declared here 985 | SUBROUTINE get_nio_tasks_in_group( retval ) | ^ module_io.fppized.f90:16973:70: warning: type of ‘wrf_quilt_open_for_write_begin’ does not match original declaration [-Wlto-type-mismatch] 16973 | Hndl , io_form, Status ) | ^ module_io_quilt.fppized.f90:185:41: note: type mismatch in parameter 8 185 | SUBROUTINE wrf_quilt_open_for_write_begin( FileName , Comm_compute, Comm_io, SysDepInfo, & | ^ module_io_quilt.fppized.f90:185:41: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:185:41: note: ‘wrf_quilt_open_for_write_begin’ was previously declared here module_io.fppized.f90:19331:56: warning: type of ‘perturb_real’ does not match original declaration [-Wlto-type-mismatch] 19331 | PatchStart, PatchEnd ) | ^ pack_utils.c:255:1: note: return value type mismatch 255 | PERTURB_REAL ( float * field, int ds[], int de[], int ms[], int me[], int ps[], int pe[] ) | ^ pack_utils.c:255:1: note: type ‘int’ should match type ‘void’ pack_utils.c:255:1: note: ‘perturb_real_’ was previously declared here pack_utils.c:255:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:19963:43: warning: type of ‘wrf_quilt_write_field’ does not match original declaration [-Wlto-type-mismatch] 19963 | Status ) | ^ module_io_quilt.fppized.f90:910:32: note: type mismatch in parameter 19 910 | SUBROUTINE wrf_quilt_write_field ( DataHandle , DateStr , VarName , Field , FieldType , Comm , IOComm, & | ^ module_io_quilt.fppized.f90:910:32: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:910:32: note: ‘wrf_quilt_write_field’ was previously declared here module_io.fppized.f90:713:54: warning: type of ‘wrf_quilt_get_dom_ti_real’ does not match original declaration [-Wlto-type-mismatch] 713 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:319:36: note: type mismatch in parameter 7 319 | SUBROUTINE wrf_quilt_get_dom_ti_real ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:319:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:488:54: warning: type of ‘wrf_quilt_get_dom_ti_real’ does not match original declaration [-Wlto-type-mismatch] 488 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:319:36: note: type mismatch in parameter 7 319 | SUBROUTINE wrf_quilt_get_dom_ti_real ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:319:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:319:36: note: ‘wrf_quilt_get_dom_ti_real’ was previously declared here module_io.fppized.f90:1165:45: warning: type of ‘wrf_quilt_put_dom_ti_real’ does not match original declaration [-Wlto-type-mismatch] 1165 | locCount, Status ) | ^ module_io_quilt.fppized.f90:334:36: note: type mismatch in parameter 6 334 | SUBROUTINE wrf_quilt_put_dom_ti_real ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:334:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:940:45: warning: type of ‘wrf_quilt_put_dom_ti_real’ does not match original declaration [-Wlto-type-mismatch] 940 | locCount, Status ) | ^ module_io_quilt.fppized.f90:334:36: note: type mismatch in parameter 6 334 | SUBROUTINE wrf_quilt_put_dom_ti_real ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:334:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:334:36: note: ‘wrf_quilt_put_dom_ti_real’ was previously declared here module_io.fppized.f90:1617:54: warning: type of ‘wrf_quilt_get_dom_ti_double’ does not match original declaration [-Wlto-type-mismatch] 1617 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:346:38: note: type mismatch in parameter 7 346 | SUBROUTINE wrf_quilt_get_dom_ti_double ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:346:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:1392:54: warning: type of ‘wrf_quilt_get_dom_ti_double’ does not match original declaration [-Wlto-type-mismatch] 1392 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:346:38: note: type mismatch in parameter 7 346 | SUBROUTINE wrf_quilt_get_dom_ti_double ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:346:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:346:38: note: ‘wrf_quilt_get_dom_ti_double’ was previously declared here module_io.fppized.f90:2069:45: warning: type of ‘wrf_quilt_put_dom_ti_double’ does not match original declaration [-Wlto-type-mismatch] 2069 | locCount, Status ) | ^ module_io_quilt.fppized.f90:361:38: note: type mismatch in parameter 6 361 | SUBROUTINE wrf_quilt_put_dom_ti_double ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:361:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:1844:45: warning: type of ‘wrf_quilt_put_dom_ti_double’ does not match original declaration [-Wlto-type-mismatch] 1844 | locCount, Status ) | ^ module_io_quilt.fppized.f90:361:38: note: type mismatch in parameter 6 361 | SUBROUTINE wrf_quilt_put_dom_ti_double ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:361:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:361:38: note: ‘wrf_quilt_put_dom_ti_double’ was previously declared here module_io.fppized.f90:2521:54: warning: type of ‘wrf_quilt_get_dom_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 2521 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:375:39: note: type mismatch in parameter 7 375 | SUBROUTINE wrf_quilt_get_dom_ti_integer ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:375:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:2296:54: warning: type of ‘wrf_quilt_get_dom_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 2296 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:375:39: note: type mismatch in parameter 7 375 | SUBROUTINE wrf_quilt_get_dom_ti_integer ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:375:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:375:39: note: ‘wrf_quilt_get_dom_ti_integer’ was previously declared here module_io.fppized.f90:2973:45: warning: type of ‘wrf_quilt_put_dom_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 2973 | locCount, Status ) | ^ module_io_quilt.fppized.f90:390:39: note: type mismatch in parameter 6 390 | SUBROUTINE wrf_quilt_put_dom_ti_integer ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:390:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:2748:45: warning: type of ‘wrf_quilt_put_dom_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 2748 | locCount, Status ) | ^ module_io_quilt.fppized.f90:390:39: note: type mismatch in parameter 6 390 | SUBROUTINE wrf_quilt_put_dom_ti_integer ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:390:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:390:39: note: ‘wrf_quilt_put_dom_ti_integer’ was previously declared here module_io.fppized.f90:3425:54: warning: type of ‘wrf_quilt_get_dom_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 3425 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:402:39: note: type mismatch in parameter 7 402 | SUBROUTINE wrf_quilt_get_dom_ti_logical ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:402:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:3200:54: warning: type of ‘wrf_quilt_get_dom_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 3200 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:402:39: note: type mismatch in parameter 7 402 | SUBROUTINE wrf_quilt_get_dom_ti_logical ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:402:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:402:39: note: ‘wrf_quilt_get_dom_ti_logical’ was previously declared here module_io.fppized.f90:3877:45: warning: type of ‘wrf_quilt_put_dom_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 3877 | locCount, Status ) | ^ module_io_quilt.fppized.f90:417:39: note: type mismatch in parameter 6 417 | SUBROUTINE wrf_quilt_put_dom_ti_logical ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:417:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:3652:45: warning: type of ‘wrf_quilt_put_dom_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 3652 | locCount, Status ) | ^ module_io_quilt.fppized.f90:417:39: note: type mismatch in parameter 6 417 | SUBROUTINE wrf_quilt_put_dom_ti_logical ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:417:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:417:39: note: ‘wrf_quilt_put_dom_ti_logical’ was previously declared here module_io.fppized.f90:4104:35: warning: type of ‘wrf_quilt_get_dom_ti_char’ does not match original declaration [-Wlto-type-mismatch] 4104 | Status ) | ^ module_io_quilt.fppized.f90:431:36: note: type mismatch in parameter 5 431 | SUBROUTINE wrf_quilt_get_dom_ti_char ( DataHandle,Element, Data, Status ) | ^ module_io_quilt.fppized.f90:431:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:431:36: note: ‘wrf_quilt_get_dom_ti_char’ was previously declared here module_io.fppized.f90:4331:35: warning: type of ‘wrf_quilt_put_dom_ti_char’ does not match original declaration [-Wlto-type-mismatch] 4331 | Status ) | ^ module_io_quilt.fppized.f90:445:36: note: type mismatch in parameter 5 445 | SUBROUTINE wrf_quilt_put_dom_ti_char ( DataHandle, Element, Data, Status ) | ^ module_io_quilt.fppized.f90:445:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:445:36: note: ‘wrf_quilt_put_dom_ti_char’ was previously declared here module_io.fppized.f90:4784:54: warning: type of ‘wrf_quilt_get_dom_td_real’ does not match original declaration [-Wlto-type-mismatch] 4784 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:457:36: note: type mismatch in parameter 8 457 | SUBROUTINE wrf_quilt_get_dom_td_real ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:457:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:4559:54: warning: type of ‘wrf_quilt_get_dom_td_real’ does not match original declaration [-Wlto-type-mismatch] 4559 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:457:36: note: type mismatch in parameter 8 457 | SUBROUTINE wrf_quilt_get_dom_td_real ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:457:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:457:36: note: ‘wrf_quilt_get_dom_td_real’ was previously declared here module_io.fppized.f90:5236:45: warning: type of ‘wrf_quilt_put_dom_td_real’ does not match original declaration [-Wlto-type-mismatch] 5236 | locCount, Status ) | ^ module_io_quilt.fppized.f90:472:36: note: type mismatch in parameter 7 472 | SUBROUTINE wrf_quilt_put_dom_td_real ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:472:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:5011:45: warning: type of ‘wrf_quilt_put_dom_td_real’ does not match original declaration [-Wlto-type-mismatch] 5011 | locCount, Status ) | ^ module_io_quilt.fppized.f90:472:36: note: type mismatch in parameter 7 472 | SUBROUTINE wrf_quilt_put_dom_td_real ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:472:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:472:36: note: ‘wrf_quilt_put_dom_td_real’ was previously declared here module_io.fppized.f90:5688:54: warning: type of ‘wrf_quilt_get_dom_td_double’ does not match original declaration [-Wlto-type-mismatch] 5688 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:486:38: note: type mismatch in parameter 8 486 | SUBROUTINE wrf_quilt_get_dom_td_double ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:486:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:5463:54: warning: type of ‘wrf_quilt_get_dom_td_double’ does not match original declaration [-Wlto-type-mismatch] 5463 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:486:38: note: type mismatch in parameter 8 486 | SUBROUTINE wrf_quilt_get_dom_td_double ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:486:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:486:38: note: ‘wrf_quilt_get_dom_td_double’ was previously declared here module_io_quilt.fppized.f90:486:38: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:6140:45: warning: type of ‘wrf_quilt_put_dom_td_double’ does not match original declaration [-Wlto-type-mismatch] 6140 | locCount, Status ) | ^ module_io_quilt.fppized.f90:502:38: note: type mismatch in parameter 7 502 | SUBROUTINE wrf_quilt_put_dom_td_double ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:502:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:5915:45: warning: type of ‘wrf_quilt_put_dom_td_double’ does not match original declaration [-Wlto-type-mismatch] 5915 | locCount, Status ) | ^ module_io_quilt.fppized.f90:502:38: note: type mismatch in parameter 7 502 | SUBROUTINE wrf_quilt_put_dom_td_double ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:502:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:502:38: note: ‘wrf_quilt_put_dom_td_double’ was previously declared here module_io_quilt.fppized.f90:502:38: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:6592:54: warning: type of ‘wrf_quilt_get_dom_td_integer’ does not match original declaration [-Wlto-type-mismatch] 6592 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:517:39: note: type mismatch in parameter 8 517 | SUBROUTINE wrf_quilt_get_dom_td_integer ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:517:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:6367:54: warning: type of ‘wrf_quilt_get_dom_td_integer’ does not match original declaration [-Wlto-type-mismatch] 6367 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:517:39: note: type mismatch in parameter 8 517 | SUBROUTINE wrf_quilt_get_dom_td_integer ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:517:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:517:39: note: ‘wrf_quilt_get_dom_td_integer’ was previously declared here module_io.fppized.f90:7044:45: warning: type of ‘wrf_quilt_put_dom_td_integer’ does not match original declaration [-Wlto-type-mismatch] 7044 | locCount, Status ) | ^ module_io_quilt.fppized.f90:532:39: note: type mismatch in parameter 7 532 | SUBROUTINE wrf_quilt_put_dom_td_integer ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:532:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:6819:45: warning: type of ‘wrf_quilt_put_dom_td_integer’ does not match original declaration [-Wlto-type-mismatch] 6819 | locCount, Status ) | ^ module_io_quilt.fppized.f90:532:39: note: type mismatch in parameter 7 532 | SUBROUTINE wrf_quilt_put_dom_td_integer ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:532:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:532:39: note: ‘wrf_quilt_put_dom_td_integer’ was previously declared here module_io.fppized.f90:7496:54: warning: type of ‘wrf_quilt_get_dom_td_logical’ does not match original declaration [-Wlto-type-mismatch] 7496 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:546:39: note: type mismatch in parameter 8 546 | SUBROUTINE wrf_quilt_get_dom_td_logical ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:546:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:7271:54: warning: type of ‘wrf_quilt_get_dom_td_logical’ does not match original declaration [-Wlto-type-mismatch] 7271 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:546:39: note: type mismatch in parameter 8 546 | SUBROUTINE wrf_quilt_get_dom_td_logical ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:546:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:546:39: note: ‘wrf_quilt_get_dom_td_logical’ was previously declared here module_io.fppized.f90:7948:45: warning: type of ‘wrf_quilt_put_dom_td_logical’ does not match original declaration [-Wlto-type-mismatch] 7948 | locCount, Status ) | ^ module_io_quilt.fppized.f90:561:39: note: type mismatch in parameter 7 561 | SUBROUTINE wrf_quilt_put_dom_td_logical ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:561:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:7723:45: warning: type of ‘wrf_quilt_put_dom_td_logical’ does not match original declaration [-Wlto-type-mismatch] 7723 | locCount, Status ) | ^ module_io_quilt.fppized.f90:561:39: note: type mismatch in parameter 7 561 | SUBROUTINE wrf_quilt_put_dom_td_logical ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:561:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:561:39: note: ‘wrf_quilt_put_dom_td_logical’ was previously declared here module_io.fppized.f90:8175:35: warning: type of ‘wrf_quilt_get_dom_td_char’ does not match original declaration [-Wlto-type-mismatch] 8175 | Status ) | ^ module_io_quilt.fppized.f90:575:36: note: type mismatch in parameter 6 575 | SUBROUTINE wrf_quilt_get_dom_td_char ( DataHandle,Element, DateStr, Data, Status ) | ^ module_io_quilt.fppized.f90:575:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:575:36: note: ‘wrf_quilt_get_dom_td_char’ was previously declared here module_io.fppized.f90:8402:35: warning: type of ‘wrf_quilt_put_dom_td_char’ does not match original declaration [-Wlto-type-mismatch] 8402 | Status ) | ^ module_io_quilt.fppized.f90:589:36: note: type mismatch in parameter 6 589 | SUBROUTINE wrf_quilt_put_dom_td_char ( DataHandle,Element, DateStr, Data, Status ) | ^ module_io_quilt.fppized.f90:589:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:589:36: note: ‘wrf_quilt_put_dom_td_char’ was previously declared here module_io.fppized.f90:8855:54: warning: type of ‘wrf_quilt_get_var_ti_real’ does not match original declaration [-Wlto-type-mismatch] 8855 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:603:36: note: type mismatch in parameter 8 603 | SUBROUTINE wrf_quilt_get_var_ti_real ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:603:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:8630:54: warning: type of ‘wrf_quilt_get_var_ti_real’ does not match original declaration [-Wlto-type-mismatch] 8630 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:603:36: note: type mismatch in parameter 8 603 | SUBROUTINE wrf_quilt_get_var_ti_real ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:603:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:603:36: note: ‘wrf_quilt_get_var_ti_real’ was previously declared here module_io.fppized.f90:9307:45: warning: type of ‘wrf_quilt_put_var_ti_real’ does not match original declaration [-Wlto-type-mismatch] 9307 | locCount, Status ) | ^ module_io_quilt.fppized.f90:618:36: note: type mismatch in parameter 7 618 | SUBROUTINE wrf_quilt_put_var_ti_real ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:618:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:9082:45: warning: type of ‘wrf_quilt_put_var_ti_real’ does not match original declaration [-Wlto-type-mismatch] 9082 | locCount, Status ) | ^ module_io_quilt.fppized.f90:618:36: note: type mismatch in parameter 7 618 | SUBROUTINE wrf_quilt_put_var_ti_real ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:618:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:618:36: note: ‘wrf_quilt_put_var_ti_real’ was previously declared here module_io.fppized.f90:9759:54: warning: type of ‘wrf_quilt_get_var_ti_double’ does not match original declaration [-Wlto-type-mismatch] 9759 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:632:38: note: type mismatch in parameter 8 632 | SUBROUTINE wrf_quilt_get_var_ti_double ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:632:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:9534:54: warning: type of ‘wrf_quilt_get_var_ti_double’ does not match original declaration [-Wlto-type-mismatch] 9534 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:632:38: note: type mismatch in parameter 8 632 | SUBROUTINE wrf_quilt_get_var_ti_double ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:632:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:632:38: note: ‘wrf_quilt_get_var_ti_double’ was previously declared here module_io_quilt.fppized.f90:632:38: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:10211:45: warning: type of ‘wrf_quilt_put_var_ti_double’ does not match original declaration [-Wlto-type-mismatch] 10211 | locCount, Status ) | ^ module_io_quilt.fppized.f90:648:38: note: type mismatch in parameter 7 648 | SUBROUTINE wrf_quilt_put_var_ti_double ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:648:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:9986:45: warning: type of ‘wrf_quilt_put_var_ti_double’ does not match original declaration [-Wlto-type-mismatch] 9986 | locCount, Status ) | ^ module_io_quilt.fppized.f90:648:38: note: type mismatch in parameter 7 648 | SUBROUTINE wrf_quilt_put_var_ti_double ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:648:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:648:38: note: ‘wrf_quilt_put_var_ti_double’ was previously declared here module_io_quilt.fppized.f90:648:38: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:10663:54: warning: type of ‘wrf_quilt_get_var_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 10663 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:663:39: note: type mismatch in parameter 8 663 | SUBROUTINE wrf_quilt_get_var_ti_integer ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:663:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:10438:54: warning: type of ‘wrf_quilt_get_var_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 10438 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:663:39: note: type mismatch in parameter 8 663 | SUBROUTINE wrf_quilt_get_var_ti_integer ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:663:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:663:39: note: ‘wrf_quilt_get_var_ti_integer’ was previously declared here module_io.fppized.f90:11115:45: warning: type of ‘wrf_quilt_put_var_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 11115 | locCount, Status ) | ^ module_io_quilt.fppized.f90:678:39: note: type mismatch in parameter 7 678 | SUBROUTINE wrf_quilt_put_var_ti_integer ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:678:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:10890:45: warning: type of ‘wrf_quilt_put_var_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 10890 | locCount, Status ) | ^ module_io_quilt.fppized.f90:678:39: note: type mismatch in parameter 7 678 | SUBROUTINE wrf_quilt_put_var_ti_integer ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:678:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:678:39: note: ‘wrf_quilt_put_var_ti_integer’ was previously declared here module_io.fppized.f90:11567:54: warning: type of ‘wrf_quilt_get_var_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 11567 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:692:39: note: type mismatch in parameter 8 692 | SUBROUTINE wrf_quilt_get_var_ti_logical ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:692:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:11342:54: warning: type of ‘wrf_quilt_get_var_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 11342 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:692:39: note: type mismatch in parameter 8 692 | SUBROUTINE wrf_quilt_get_var_ti_logical ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:692:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:692:39: note: ‘wrf_quilt_get_var_ti_logical’ was previously declared here module_io.fppized.f90:12019:45: warning: type of ‘wrf_quilt_put_var_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 12019 | locCount, Status ) | ^ module_io_quilt.fppized.f90:707:39: note: type mismatch in parameter 7 707 | SUBROUTINE wrf_quilt_put_var_ti_logical ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:707:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:11794:45: warning: type of ‘wrf_quilt_put_var_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 11794 | locCount, Status ) | ^ module_io_quilt.fppized.f90:707:39: note: type mismatch in parameter 7 707 | SUBROUTINE wrf_quilt_put_var_ti_logical ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:707:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:707:39: note: ‘wrf_quilt_put_var_ti_logical’ was previously declared here module_io.fppized.f90:12246:35: warning: type of ‘wrf_quilt_get_var_ti_char’ does not match original declaration [-Wlto-type-mismatch] 12246 | Status ) | ^ module_io_quilt.fppized.f90:721:36: note: type mismatch in parameter 6 721 | SUBROUTINE wrf_quilt_get_var_ti_char ( DataHandle,Element, Varname, Data, Status ) | ^ module_io_quilt.fppized.f90:721:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:721:36: note: ‘wrf_quilt_get_var_ti_char’ was previously declared here module_io.fppized.f90:12473:35: warning: type of ‘wrf_quilt_put_var_ti_char’ does not match original declaration [-Wlto-type-mismatch] 12473 | Status ) | ^ module_io_quilt.fppized.f90:735:36: note: type mismatch in parameter 6 735 | SUBROUTINE wrf_quilt_put_var_ti_char ( DataHandle,Element, Varname, Data, Status ) | ^ module_io_quilt.fppized.f90:735:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:735:36: note: ‘wrf_quilt_put_var_ti_char’ was previously declared here module_io.fppized.f90:12926:54: warning: type of ‘wrf_quilt_get_var_td_real’ does not match original declaration [-Wlto-type-mismatch] 12926 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:748:36: note: type mismatch in parameter 9 748 | SUBROUTINE wrf_quilt_get_var_td_real ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:748:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:12701:54: warning: type of ‘wrf_quilt_get_var_td_real’ does not match original declaration [-Wlto-type-mismatch] 12701 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:748:36: note: type mismatch in parameter 9 748 | SUBROUTINE wrf_quilt_get_var_td_real ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:748:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:748:36: note: ‘wrf_quilt_get_var_td_real’ was previously declared here module_io.fppized.f90:13378:45: warning: type of ‘wrf_quilt_put_var_td_real’ does not match original declaration [-Wlto-type-mismatch] 13378 | locCount, Status ) | ^ module_io_quilt.fppized.f90:763:36: note: type mismatch in parameter 8 763 | SUBROUTINE wrf_quilt_put_var_td_real ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:763:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:13153:45: warning: type of ‘wrf_quilt_put_var_td_real’ does not match original declaration [-Wlto-type-mismatch] 13153 | locCount, Status ) | ^ module_io_quilt.fppized.f90:763:36: note: type mismatch in parameter 8 763 | SUBROUTINE wrf_quilt_put_var_td_real ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:763:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:763:36: note: ‘wrf_quilt_put_var_td_real’ was previously declared here module_io.fppized.f90:13830:54: warning: type of ‘wrf_quilt_get_var_td_double’ does not match original declaration [-Wlto-type-mismatch] 13830 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:777:38: note: type mismatch in parameter 9 777 | SUBROUTINE wrf_quilt_get_var_td_double ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:777:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:13605:54: warning: type of ‘wrf_quilt_get_var_td_double’ does not match original declaration [-Wlto-type-mismatch] 13605 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:777:38: note: type mismatch in parameter 9 777 | SUBROUTINE wrf_quilt_get_var_td_double ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:777:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:777:38: note: ‘wrf_quilt_get_var_td_double’ was previously declared here module_io_quilt.fppized.f90:777:38: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:14282:45: warning: type of ‘wrf_quilt_put_var_td_double’ does not match original declaration [-Wlto-type-mismatch] 14282 | locCount, Status ) | ^ module_io_quilt.fppized.f90:793:38: note: type mismatch in parameter 8 793 | SUBROUTINE wrf_quilt_put_var_td_double ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:793:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:14057:45: warning: type of ‘wrf_quilt_put_var_td_double’ does not match original declaration [-Wlto-type-mismatch] 14057 | locCount, Status ) | ^ module_io_quilt.fppized.f90:793:38: note: type mismatch in parameter 8 793 | SUBROUTINE wrf_quilt_put_var_td_double ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:793:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:793:38: note: ‘wrf_quilt_put_var_td_double’ was previously declared here module_io_quilt.fppized.f90:793:38: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:14734:54: warning: type of ‘wrf_quilt_get_var_td_integer’ does not match original declaration [-Wlto-type-mismatch] 14734 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:808:39: note: type mismatch in parameter 9 808 | SUBROUTINE wrf_quilt_get_var_td_integer ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount,Status) | ^ module_io_quilt.fppized.f90:808:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:14509:54: warning: type of ‘wrf_quilt_get_var_td_integer’ does not match original declaration [-Wlto-type-mismatch] 14509 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:808:39: note: type mismatch in parameter 9 808 | SUBROUTINE wrf_quilt_get_var_td_integer ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount,Status) | ^ module_io_quilt.fppized.f90:808:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:808:39: note: ‘wrf_quilt_get_var_td_integer’ was previously declared here module_io.fppized.f90:15186:45: warning: type of ‘wrf_quilt_put_var_td_integer’ does not match original declaration [-Wlto-type-mismatch] 15186 | locCount, Status ) | ^ module_io_quilt.fppized.f90:823:39: note: type mismatch in parameter 8 823 | SUBROUTINE wrf_quilt_put_var_td_integer ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:823:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:14961:45: warning: type of ‘wrf_quilt_put_var_td_integer’ does not match original declaration [-Wlto-type-mismatch] 14961 | locCount, Status ) | ^ module_io_quilt.fppized.f90:823:39: note: type mismatch in parameter 8 823 | SUBROUTINE wrf_quilt_put_var_td_integer ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:823:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:823:39: note: ‘wrf_quilt_put_var_td_integer’ was previously declared here module_io.fppized.f90:15638:54: warning: type of ‘wrf_quilt_get_var_td_logical’ does not match original declaration [-Wlto-type-mismatch] 15638 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:837:39: note: type mismatch in parameter 9 837 | SUBROUTINE wrf_quilt_get_var_td_logical ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:837:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:15413:54: warning: type of ‘wrf_quilt_get_var_td_logical’ does not match original declaration [-Wlto-type-mismatch] 15413 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:837:39: note: type mismatch in parameter 9 837 | SUBROUTINE wrf_quilt_get_var_td_logical ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:837:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:837:39: note: ‘wrf_quilt_get_var_td_logical’ was previously declared here module_io.fppized.f90:16090:45: warning: type of ‘wrf_quilt_put_var_td_logical’ does not match original declaration [-Wlto-type-mismatch] 16090 | locCount, Status ) | ^ module_io_quilt.fppized.f90:852:39: note: type mismatch in parameter 8 852 | SUBROUTINE wrf_quilt_put_var_td_logical ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:852:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:15865:45: warning: type of ‘wrf_quilt_put_var_td_logical’ does not match original declaration [-Wlto-type-mismatch] 15865 | locCount, Status ) | ^ module_io_quilt.fppized.f90:852:39: note: type mismatch in parameter 8 852 | SUBROUTINE wrf_quilt_put_var_td_logical ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:852:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:852:39: note: ‘wrf_quilt_put_var_td_logical’ was previously declared here module_io.fppized.f90:16317:35: warning: type of ‘wrf_quilt_get_var_td_char’ does not match original declaration [-Wlto-type-mismatch] 16317 | Status ) | ^ module_io_quilt.fppized.f90:866:36: note: type mismatch in parameter 7 866 | SUBROUTINE wrf_quilt_get_var_td_char ( DataHandle,Element, DateStr,Varname, Data, Status ) | ^ module_io_quilt.fppized.f90:866:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:866:36: note: ‘wrf_quilt_get_var_td_char’ was previously declared here module_io.fppized.f90:16544:35: warning: type of ‘wrf_quilt_put_var_td_char’ does not match original declaration [-Wlto-type-mismatch] 16544 | Status ) | ^ module_io_quilt.fppized.f90:880:36: note: type mismatch in parameter 7 880 | SUBROUTINE wrf_quilt_put_var_td_char ( DataHandle,Element, DateStr,Varname, Data, Status ) | ^ module_io_quilt.fppized.f90:880:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:880:36: note: ‘wrf_quilt_put_var_td_char’ was previously declared here module_io.fppized.f90:16858:63: warning: type of ‘wrf_quilt_ioexit’ does not match original declaration [-Wlto-type-mismatch] 16858 | IF ( use_output_servers() ) CALL wrf_quilt_ioexit( ierr(11) ) | ^ module_io_quilt.fppized.f90:273:27: note: ‘wrf_quilt_ioexit’ was previously declared here 273 | SUBROUTINE wrf_quilt_ioexit( Status ) | ^ module_io.fppized.f90:17036:60: warning: type of ‘wrf_quilt_open_for_write_commit’ does not match original declaration [-Wlto-type-mismatch] 17036 | CALL wrf_quilt_open_for_write_commit ( Hndl , Status ) | ^ module_io_quilt.fppized.f90:195:42: note: ‘wrf_quilt_open_for_write_commit’ was previously declared here 195 | SUBROUTINE wrf_quilt_open_for_write_commit( DataHandle , Status ) | ^ module_io.fppized.f90:17443:75: warning: type of ‘wrf_quilt_inquire_opened’ does not match original declaration [-Wlto-type-mismatch] 17443 | CALL wrf_quilt_inquire_opened ( Hndl, FileName , FileStatus, Status ) | ^ module_io_quilt.fppized.f90:216:35: note: type mismatch in parameter 5 216 | SUBROUTINE wrf_quilt_inquire_opened ( DataHandle, FileName , FileStatus, Status ) | ^ module_io_quilt.fppized.f90:216:35: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:216:35: note: ‘wrf_quilt_inquire_opened’ was previously declared here module_io.fppized.f90:17630:77: warning: type of ‘wrf_quilt_inquire_filename’ does not match original declaration [-Wlto-type-mismatch] 17630 | CALL wrf_quilt_inquire_filename ( Hndl, FileName , FileStatus, Status ) | ^ module_io_quilt.fppized.f90:225:37: note: type mismatch in parameter 5 225 | SUBROUTINE wrf_quilt_inquire_filename ( DataHandle, FileName , FileStatus, Status ) | ^ module_io_quilt.fppized.f90:225:37: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:225:37: note: ‘wrf_quilt_inquire_filename’ was previously declared here module_io.fppized.f90:17807:43: warning: type of ‘wrf_quilt_iosync’ does not match original declaration [-Wlto-type-mismatch] 17807 | CALL wrf_quilt_iosync( Hndl, Status ) | ^ module_io_quilt.fppized.f90:239:27: note: ‘wrf_quilt_iosync’ was previously declared here 239 | SUBROUTINE wrf_quilt_iosync ( DataHandle, Status ) | ^ module_io.fppized.f90:17985:44: warning: type of ‘wrf_quilt_ioclose’ does not match original declaration [-Wlto-type-mismatch] 17985 | CALL wrf_quilt_ioclose( Hndl, Status ) | ^ module_io_quilt.fppized.f90:262:28: note: ‘wrf_quilt_ioclose’ was previously declared here 262 | SUBROUTINE wrf_quilt_ioclose ( DataHandle, Status ) | ^ module_io.fppized.f90:18172:59: warning: type of ‘wrf_quilt_get_next_time’ does not match original declaration [-Wlto-type-mismatch] 18172 | CALL wrf_quilt_get_next_time( Hndl, DateStr, Status ) | ^ module_io_quilt.fppized.f90:282:34: note: type mismatch in parameter 4 282 | SUBROUTINE wrf_quilt_get_next_time ( DataHandle, DateStr, Status ) | ^ module_io_quilt.fppized.f90:282:34: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:282:34: note: ‘wrf_quilt_get_next_time’ was previously declared here module_io.fppized.f90:18352:63: warning: type of ‘wrf_quilt_get_previous_time’ does not match original declaration [-Wlto-type-mismatch] 18352 | CALL wrf_quilt_get_previous_time( Hndl, DateStr, Status ) | ^ module_io_quilt.fppized.f90:291:38: note: type mismatch in parameter 4 291 | SUBROUTINE wrf_quilt_get_previous_time ( DataHandle, DateStr, Status ) | ^ module_io_quilt.fppized.f90:291:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:291:38: note: ‘wrf_quilt_get_previous_time’ was previously declared here module_io.fppized.f90:18531:54: warning: type of ‘wrf_quilt_set_time’ does not match original declaration [-Wlto-type-mismatch] 18531 | CALL wrf_quilt_set_time( Hndl, DateStr, Status ) | ^ module_io_quilt.fppized.f90:300:29: note: type mismatch in parameter 4 300 | SUBROUTINE wrf_quilt_set_time ( DataHandle, Data, Status ) | ^ module_io_quilt.fppized.f90:300:29: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:300:29: note: ‘wrf_quilt_set_time’ was previously declared here module_io.fppized.f90:18711:58: warning: type of ‘wrf_quilt_get_next_var’ does not match original declaration [-Wlto-type-mismatch] 18711 | CALL wrf_quilt_get_next_var( Hndl, VarName, Status ) | ^ module_io_quilt.fppized.f90:309:33: note: type mismatch in parameter 4 309 | SUBROUTINE wrf_quilt_get_next_var ( DataHandle, VarName, Status ) | ^ module_io_quilt.fppized.f90:309:33: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:309:33: note: ‘wrf_quilt_get_next_var’ was previously declared here module_io.fppized.f90:18898:44: warning: type of ‘wrf_quilt_get_var_info’ does not match original declaration [-Wlto-type-mismatch] 18898 | Status ) | ^ module_io_quilt.fppized.f90:937:33: note: type mismatch in parameter 9 937 | SUBROUTINE wrf_quilt_get_var_info ( DataHandle , VarName , NDim , MemoryOrder , Stagger , & | ^ module_io_quilt.fppized.f90:937:33: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:937:33: note: ‘wrf_quilt_get_var_info’ was previously declared here wrf_ext_write_field.fppized.f90:175:31: warning: type of ‘wrf_write_field’ does not match original declaration [-Wlto-type-mismatch] 175 | ,Status ) | ^ module_io.fppized.f90:19549:26: note: ‘wrf_write_field’ was previously declared here 19549 | SUBROUTINE wrf_write_field ( DataHandle , DateStr , VarName , Field , FieldType , & | ^ module_io.fppized.f90:19549:26: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_ext_read_field.fppized.f90:144:31: warning: type of ‘wrf_read_field’ does not match original declaration [-Wlto-type-mismatch] 144 | ,Status ) | ^ module_io.fppized.f90:19127:25: note: ‘wrf_read_field’ was previously declared here 19127 | SUBROUTINE wrf_read_field ( DataHandle , DateStr , VarName , Field , FieldType , & | ^ module_io.fppized.f90:19127:25: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_internal_header_util.fppized.f90:1654:58: warning: type of ‘int_gen_ti_header_c’ does not match original declaration [-Wlto-type-mismatch] 1654 | DataHandle, Data, Count, code ) | ^ pack_utils.c:78:1: note: return value type mismatch 78 | INT_GEN_TI_HEADER_C ( char * hdrbuf, int * hdrbufsize, /* hdrbufsize is in bytes */ | ^ pack_utils.c:78:1: note: type ‘int’ should match type ‘void’ module_internal_header_util.fppized.f90:1592:58: warning: type of ‘int_gen_ti_header_c’ does not match original declaration [-Wlto-type-mismatch] 1592 | DataHandle, Data, Count, code ) | ^ pack_utils.c:78:1: note: return value type mismatch 78 | INT_GEN_TI_HEADER_C ( char * hdrbuf, int * hdrbufsize, /* hdrbufsize is in bytes */ | ^ pack_utils.c:78:1: note: type ‘int’ should match type ‘void’ module_internal_header_util.fppized.f90:1440:68: warning: type of ‘int_gen_ti_header_c’ does not match original declaration [-Wlto-type-mismatch] 1440 | DataHandle, DummyData, DummyCount, code ) | ^ pack_utils.c:78:1: note: return value type mismatch 78 | INT_GEN_TI_HEADER_C ( char * hdrbuf, int * hdrbufsize, /* hdrbufsize is in bytes */ | ^ pack_utils.c:78:1: note: type ‘int’ should match type ‘void’ pack_utils.c:78:1: note: ‘int_gen_ti_header_c_’ was previously declared here pack_utils.c:78:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_internal_header_util.fppized.f90:1779:58: warning: type of ‘int_get_ti_header_c’ does not match original declaration [-Wlto-type-mismatch] 1779 | DataHandle, Data, Count, code ) | ^ pack_utils.c:98:1: note: return value type mismatch 98 | INT_GET_TI_HEADER_C ( char * hdrbuf, int * hdrbufsize, int * n, /* hdrbufsize and n are in bytes */ | ^ pack_utils.c:98:1: note: type ‘int’ should match type ‘void’ module_internal_header_util.fppized.f90:1717:58: warning: type of ‘int_get_ti_header_c’ does not match original declaration [-Wlto-type-mismatch] 1717 | DataHandle, Data, Count, code ) | ^ pack_utils.c:98:1: note: return value type mismatch 98 | INT_GET_TI_HEADER_C ( char * hdrbuf, int * hdrbufsize, int * n, /* hdrbufsize and n are in bytes */ | ^ pack_utils.c:98:1: note: type ‘int’ should match type ‘void’ module_internal_header_util.fppized.f90:1503:58: warning: type of ‘int_get_ti_header_c’ does not match original declaration [-Wlto-type-mismatch] 1503 | DataHandle, Data, Count, code ) | ^ pack_utils.c:98:1: note: return value type mismatch 98 | INT_GET_TI_HEADER_C ( char * hdrbuf, int * hdrbufsize, int * n, /* hdrbufsize and n are in bytes */ | ^ pack_utils.c:98:1: note: type ‘int’ should match type ‘void’ pack_utils.c:98:1: note: ‘int_get_ti_header_c_’ was previously declared here pack_utils.c:98:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_fr_fire_util.fppized.f90:1356:27: warning: type of ‘omp_get_thread_num’ does not match original declaration [-Wlto-type-mismatch] 1356 | thread=omp_get_thread_num() | ^ module_fr_fire_phys.fppized.f90:152:6: note: return value type mismatch 152 | if (OMP_GET_THREAD_NUM() .ne. 0)then | ^ module_fr_fire_phys.fppized.f90:152:6: note: type ‘int’ should match type ‘float’ module_fr_fire_phys.fppized.f90:152:6: note: ‘omp_get_thread_num’ was previously declared here module_fr_fire_phys.fppized.f90:152:6: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_domain.fppized.f90:1142:59: warning: type of ‘set_mask’ does not match original declaration [-Wlto-type-mismatch] 1142 | CALL set_mask( p_stream, strmtyp_int + istrm - 1 ) | ^ pack_utils.c:315:1: note: ‘set_mask_’ was previously declared here 315 | SET_MASK ( unsigned int * mask , int *e ) | ^ pack_utils.c:315:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_domain.fppized.f90:1135:65: warning: type of ‘get_mask’ does not match original declaration [-Wlto-type-mismatch] 1135 | CALL get_mask( p_stream, strmtyp_int + istrm - 1, retval ) | ^ pack_utils.c:332:1: note: ‘get_mask_’ was previously declared here 332 | GET_MASK ( unsigned int * mask , int *e , int * retval ) | ^ pack_utils.c:332:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_domain.fppized.f90:1152:60: warning: type of ‘reset_mask’ does not match original declaration [-Wlto-type-mismatch] 1152 | CALL reset_mask( p_stream, strmtyp_int + istrm - 1) | ^ pack_utils.c:301:1: note: ‘reset_mask_’ was previously declared here 301 | RESET_MASK ( unsigned int * mask , int *e ) | ^ pack_utils.c:301:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_input.fppized.f90:224:65: warning: type of ‘wrf_dm_bcast_real’ does not match original declaration [-Wlto-type-mismatch] 224 | CALL wrf_dm_bcast_real(grid%track_time_in, grid%track_loc) | ^ module_dm.fppized.f90:1283:28: note: type mismatch in parameter 3 1283 | SUBROUTINE wrf_dm_bcast_real( BUF, N1 ) | ^ module_dm.fppized.f90:1283:28: note: type ‘void’ should match type ‘long int’ module_dm.fppized.f90:1283:28: note: ‘wrf_dm_bcast_real’ was previously declared here module_io.fppized.f90:18703:46: warning: type of ‘wrf_dm_bcast_bytes’ does not match original declaration [-Wlto-type-mismatch] 18703 | CALL wrf_dm_bcast_bytes( Status, 4 ) | ^ module_physics_init.fppized.f90:1348:47: warning: type of ‘wrf_dm_bcast_bytes’ does not match original declaration [-Wlto-type-mismatch] 1348 | CALL wrf_dm_bcast_bytes (end_of_file, 4 ) | ^ module_ra_gfdleta.fppized.f90:8767:67: warning: type of ‘wrf_dm_bcast_bytes’ does not match original declaration [-Wlto-type-mismatch] 8767 | CALL wrf_dm_bcast_bytes ( TRANSA , size ( TRANSA ) * 4 ) | ^ module_dm.fppized.f90:1244:29: note: ‘wrf_dm_bcast_bytes’ was previously declared here 1244 | SUBROUTINE wrf_dm_bcast_bytes ( buf , size ) | ^ module_comm_dm_4.fppized.f90:7464:43: warning: type of ‘rsl_lite_pack_period’ does not match original declaration [-Wlto-type-mismatch] 7464 | ips, ipe, jps, jpe, kps, kpe ) | ^ module_comm_dm_4.fppized.f90:7139:43: warning: type of ‘rsl_lite_pack_period’ does not match original declaration [-Wlto-type-mismatch] 7139 | ips, ipe, jps, jpe, kps, kpe ) | ^ period.c:96:6: note: ‘rsl_lite_pack_period_’ was previously declared here 96 | void RSL_LITE_PACK_PERIOD ( int* Fcomm0, char * buf , int * shw0 , int * typesize0 , int * xy0 , int * pu0 , int * imemord , int * stag0 , | ^ module_dm.fppized.f90:11148:20: warning: type of ‘interp_fcni’ does not match original declaration [-Wlto-type-mismatch] 11148 | ) | ^ interp_fcn.fppized.f90:1659:25: note: ‘interp_fcni’ was previously declared here 1659 | SUBROUTINE interp_fcni( cfld, & ! CD field | ^ interp_fcn.fppized.f90:1659:25: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_dm.fppized.f90:20086:20: warning: type of ‘copy_fcni’ does not match original declaration [-Wlto-type-mismatch] 20086 | ) | ^ interp_fcn.fppized.f90:897:23: note: ‘copy_fcni’ was previously declared here 897 | SUBROUTINE copy_fcni ( cfld, & ! CD field | ^ interp_fcn.fppized.f90:897:23: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used input_wrf.fppized.f90:1021:27: warning: type of ‘wrf_ext_read_field’ does not match original declaration [-Wlto-type-mismatch] 1021 | ierr ) | ^ wrf_ext_read_field.fppized.f90:74:31: note: ‘wrf_ext_read_field’ was previously declared here 74 | SUBROUTINE wrf_ext_read_field( DataHandle,DateStr,Var,Field,FieldType,Comm,IOComm, & | ^ wrf_ext_read_field.fppized.f90:74:31: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used input_wrf.fppized.f90:1448:30: warning: type of ‘wrf_ext_read_field_arr’ does not match original declaration [-Wlto-type-mismatch] 1448 | ierr ) | ^ wrf_ext_read_field.fppized.f90:3:35: note: ‘wrf_ext_read_field_arr’ was previously declared here 3 | SUBROUTINE wrf_ext_read_field_arr(DataHandle,DateStr,Var & | ^ wrf_ext_read_field.fppized.f90:3:35: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:725:75: warning: type of ‘ext_ncd_logicalfieldio’ does not match original declaration [-Wlto-type-mismatch] 725 | call ext_ncd_LogicalFieldIO (IO,NCID,VarID,VStart,VCount,XField,Status) | ^ field_routines.fppized.f90:120:33: note: ‘ext_ncd_logicalfieldio’ was previously declared here 120 | subroutine ext_ncd_LogicalFieldIO(IO,NCID,VarID,VStart,VCount,Data,Status) | ^ field_routines.fppized.f90:120:33: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:721:75: warning: type of ‘ext_ncd_doublefieldio’ does not match original declaration [-Wlto-type-mismatch] 721 | call ext_ncd_DoubleFieldIO (IO,NCID,VarID,VStart,VCount,XField,Status) | ^ field_routines.fppized.f90:64:32: note: ‘ext_ncd_doublefieldio’ was previously declared here 64 | subroutine ext_ncd_DoubleFieldIO(IO,NCID,VarID,VStart,VCount,Data,Status) | ^ field_routines.fppized.f90:64:32: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:719:75: warning: type of ‘ext_ncd_realfieldio’ does not match original declaration [-Wlto-type-mismatch] 719 | call ext_ncd_RealFieldIO (IO,NCID,VarID,VStart,VCount,XField,Status) | ^ field_routines.fppized.f90:36:30: note: ‘ext_ncd_realfieldio’ was previously declared here 36 | subroutine ext_ncd_RealFieldIO(IO,NCID,VarID,VStart,VCount,Data,Status) | ^ field_routines.fppized.f90:36:30: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:18890:46: warning: type of ‘ext_ncd_get_var_info’ does not match original declaration [-Wlto-type-mismatch] 18890 | Status ) | ^ wrf_io.fppized.f90:8019:31: note: type mismatch in parameter 9 8019 | subroutine ext_ncd_get_var_info(DataHandle,Name,NDim,MemoryOrder,Stagger,DomainStart,DomainEnd,WrfType,Status) | ^ wrf_io.fppized.f90:8019:31: note: ‘ext_ncd_get_var_info’ was previously declared here wrf_io.fppized.f90:8019:31: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used diffwrf.fppized.f90:1643:33: warning: type of ‘ext_ncd_read_field’ does not match original declaration [-Wlto-type-mismatch] 1643 | ierr) | ^ wrf_io.fppized.f90:7506:29: note: ‘ext_ncd_read_field’ was previously declared here 7506 | subroutine ext_ncd_read_field(DataHandle,DateStr,Var,Field,FieldType,Comm, & | ^ wrf_io.fppized.f90:7506:29: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_comm_dm_0.fppized.f90:8430:43: warning: type of ‘rsl_lite_pack’ does not match original declaration [-Wlto-type-mismatch] 8430 | ips, ipe, jps, jpe, kps, kpe ) | ^ module_comm_dm_0.fppized.f90:2573:43: warning: type of ‘rsl_lite_pack’ does not match original declaration [-Wlto-type-mismatch] 2573 | ips, ipe, jps, jpe, kps, kpe ) | ^ c_code.c:345:1: note: ‘rsl_lite_pack_’ was previously declared here 345 | RSL_LITE_PACK ( int * Fcomm0, char * buf , int * shw0 , | ^ module_dm.fppized.f90:1142:51: warning: type of ‘rsl_lite_get_hostname’ does not match original declaration [-Wlto-type-mismatch] 1142 | CALL rsl_lite_get_hostname( tmp, 512, n, cs ) | ^ c_code.c:205:1: note: return value type mismatch 205 | RSL_LITE_GET_HOSTNAME ( char * hn, int * size, int *n, int *hostid ) | ^ c_code.c:205:1: note: type ‘int’ should match type ‘void’ c_code.c:205:1: note: ‘rsl_lite_get_hostname_’ was previously declared here c1fm1b.fppized.f90:89:73: warning: type of ‘c1fgkb’ does not match original declaration [-Wlto-type-mismatch] 89 | call c1fgkb ( ido, ip, l1, lid, na, c, c, inc2, ch, ch, 2, wa(iw) ) | ^ c1fgkb.fppized.f90:1:17: note: ‘c1fgkb’ was previously declared here 1 | subroutine c1fgkb ( ido, ip, l1, lid, na, cc, cc1, in1, ch, ch1, in2, wa ) | ^ c1fgkb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used c1fm1b.fppized.f90:85:57: warning: type of ‘c1f5kb’ does not match original declaration [-Wlto-type-mismatch] 85 | call c1f5kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ c1f5kb.fppized.f90:1:17: note: ‘c1f5kb’ was previously declared here 1 | subroutine c1f5kb ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ c1f5kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used c1fm1b.fppized.f90:81:57: warning: type of ‘c1f4kb’ does not match original declaration [-Wlto-type-mismatch] 81 | call c1f4kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ c1f4kb.fppized.f90:1:17: note: ‘c1f4kb’ was previously declared here 1 | subroutine c1f4kb ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ c1f4kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used c1fm1b.fppized.f90:77:57: warning: type of ‘c1f3kb’ does not match original declaration [-Wlto-type-mismatch] 77 | call c1f3kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ c1f3kb.fppized.f90:1:17: note: ‘c1f3kb’ was previously declared here 1 | subroutine c1f3kb ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ c1f3kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used c1fm1b.fppized.f90:73:57: warning: type of ‘c1f2kb’ does not match original declaration [-Wlto-type-mismatch] 73 | call c1f2kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ c1f2kb.fppized.f90:1:17: note: ‘c1f2kb’ was previously declared here 1 | subroutine c1f2kb ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ c1f2kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_domain.fppized.f90:1158:97: warning: type of ‘wrf_error_fatal’ does not match original declaration [-Wlto-type-mismatch] 1158 | CALL wrf_error_fatal('Did you really type > max_hst_mods fields into ', TRIM(fname) ,' ?') | ^ module_wrf_error.fppized.f90:217:26: note: type mismatch in parameter 2 217 | SUBROUTINE wrf_error_fatal( str ) | ^ module_wrf_error.fppized.f90:217:26: note: ‘wrf_error_fatal’ was previously declared here module_wrf_error.fppized.f90:217:26: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used lto-wrapper: warning: using serial compilation of 2 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make.diffwrf_621 command: 2021-07-08 15:21:41 (1625772101.10306) Elapsed time for make.diffwrf_621 command: 00:03:03 (183.449152946472) Issuing options.diffwrf_621 command 'specmake --output-sync --jobs=1 options TARGET=diffwrf_621' Start options.diffwrf_621 command: 2021-07-08 15:21:41 (1625772101.10647) FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options.diffwrf_621 command: 2021-07-08 15:21:41 (1625772101.27) Elapsed time for options.diffwrf_621 command: 00:00:00 (0.163522958755493) Issuing compiler-version.diffwrf_621 command 'specmake --output-sync --jobs=1 compiler-version TARGET=diffwrf_621' Start compiler-version.diffwrf_621 command: 2021-07-08 15:21:41 (1625772101.27394) FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.diffwrf_621 command: 2021-07-08 15:21:41 (1625772101.44609) Elapsed time for compiler-version.diffwrf_621 command: 00:00:00 (0.172148942947388) Issuing make.wrf_s command 'specmake --output-sync --jobs=1 build TARGET=wrf_s' Start make.wrf_s command: 2021-07-08 15:21:41 (1625772101.45018) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o alloc_2d.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 alloc_2d.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o apply_bitmap.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 apply_bitmap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o bobrand.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 bobrand.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f2kf.F90 -o c1f2kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f2kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp c1f2kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f3kf.F90 -o c1f3kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f3kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp c1f3kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f4kf.F90 -o c1f4kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f4kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp c1f4kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1f5kf.F90 -o c1f5kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1f5kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp c1f5kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1fgkf.F90 -o c1fgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1fgkf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp c1fgkf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 c1fm1f.F90 -o c1fm1f.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o c1fm1f.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp c1fm1f.fppized.f90 c1fm1f.fppized.f90:74:34: 72 | call c1f2kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 73 | else if ( nbr == 2 ) then 74 | call c1f2kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1f.fppized.f90:78:34: 76 | call c1f3kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 77 | else if ( nbr == 4 ) then 78 | call c1f3kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1f.fppized.f90:82:34: 80 | call c1f4kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 81 | else if ( nbr == 6 ) then 82 | call c1f4kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1f.fppized.f90:86:34: 84 | call c1f5kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 85 | else if ( nbr == 8 ) then 86 | call c1f5kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). c1fm1f.fppized.f90:90:43: 88 | call c1fgkf ( ido, ip, l1, lid, na, c, c, inc2, ch, ch, 1, wa(iw) ) | 2 89 | else if ( nbr == 10 ) then 90 | call c1fgkf ( ido, ip, l1, lid, na, ch, ch, 2, c, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cfftmb.F90 -o cfftmb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfftmb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cfftmb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cfftmf.F90 -o cfftmf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfftmf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cfftmf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cfftmi.F90 -o cfftmi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfftmi.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cfftmi.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf2kb.F90 -o cmf2kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf2kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cmf2kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf2kf.F90 -o cmf2kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf2kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cmf2kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf3kb.F90 -o cmf3kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf3kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cmf3kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf3kf.F90 -o cmf3kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf3kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cmf3kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf4kb.F90 -o cmf4kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf4kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cmf4kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf4kf.F90 -o cmf4kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf4kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cmf4kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf5kb.F90 -o cmf5kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf5kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cmf5kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmf5kf.F90 -o cmf5kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmf5kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cmf5kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmfgkb.F90 -o cmfgkb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmfgkb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cmfgkb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmfgkf.F90 -o cmfgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmfgkf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cmfgkf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmfm1b.F90 -o cmfm1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmfm1b.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cmfm1b.fppized.f90 cmfm1b.fppized.f90:74:38: 72 | call cmf2kb ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 73 | else if ( nbr == 2 ) then 74 | call cmf2kb ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1b.fppized.f90:78:38: 76 | call cmf3kb ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 77 | else if ( nbr == 4 ) then 78 | call cmf3kb ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1b.fppized.f90:82:38: 80 | call cmf4kb ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 81 | else if ( nbr == 6 ) then 82 | call cmf4kb ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1b.fppized.f90:86:38: 84 | call cmf5kb ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 85 | else if ( nbr == 8 ) then 86 | call cmf5kb ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1b.fppized.f90:91:47: 88 | call cmfgkb ( lot, ido, ip, l1, lid, na, c, c, jump, inc, ch, ch, & | 2 ...... 91 | call cmfgkb ( lot, ido, ip, l1, lid, na, ch, ch, 1, lot, c, c, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cmfm1f.F90 -o cmfm1f.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmfm1f.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cmfm1f.fppized.f90 cmfm1f.fppized.f90:74:38: 72 | call cmf2kf ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 73 | else if ( nbr == 2 ) then 74 | call cmf2kf ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1f.fppized.f90:78:38: 76 | call cmf3kf ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 77 | else if ( nbr == 4 ) then 78 | call cmf3kf ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1f.fppized.f90:82:38: 80 | call cmf4kf ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 81 | else if ( nbr == 6 ) then 82 | call cmf4kf ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1f.fppized.f90:86:38: 84 | call cmf5kf ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | 2 85 | else if ( nbr == 8 ) then 86 | call cmf5kf ( lot, ido, l1, na, ch, 1, lot, c, jump, inc, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). cmfm1f.fppized.f90:91:47: 88 | call cmfgkf ( lot, ido, ip, l1, lid, na, c, c, jump, inc, ch, ch, & | 2 ...... 91 | call cmfgkf ( lot, ido, ip, l1, lid, na, ch, ch, 1, lot, c, c, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/COMPLEX(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosq1b.F90 -o cosq1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosq1b.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cosq1b.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosq1f.F90 -o cosq1f.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosq1f.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cosq1f.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosq1i.F90 -o cosq1i.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosq1i.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cosq1i.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosqb1.F90 -o cosqb1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosqb1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cosqb1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosqf1.F90 -o cosqf1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosqf1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cosqf1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosqmb.F90 -o cosqmb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosqmb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cosqmb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosqmf.F90 -o cosqmf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosqmf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cosqmf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 cosqmi.F90 -o cosqmi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosqmi.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cosqmi.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f2kb.F90 -o d1f2kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f2kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp d1f2kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f2kf.F90 -o d1f2kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f2kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp d1f2kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f3kb.F90 -o d1f3kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f3kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp d1f3kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f3kf.F90 -o d1f3kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f3kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp d1f3kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f4kb.F90 -o d1f4kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f4kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp d1f4kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f4kf.F90 -o d1f4kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f4kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp d1f4kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f5kb.F90 -o d1f5kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f5kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp d1f5kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1f5kf.F90 -o d1f5kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1f5kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp d1f5kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1fgkb.F90 -o d1fgkb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1fgkb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp d1fgkb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 d1fgkf.F90 -o d1fgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d1fgkf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp d1fgkf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dfft1b.F90 -o dfft1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dfft1b.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp dfft1b.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dfft1f.F90 -o dfft1f.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dfft1f.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp dfft1f.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dfft1i.F90 -o dfft1i.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dfft1i.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp dfft1i.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dfftb1.F90 -o dfftb1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dfftb1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp dfftb1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dfftf1.F90 -o dfftf1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dfftf1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp dfftf1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dffti1.F90 -o dffti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dffti1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp dffti1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 dfi.F90 -o dfi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dfi.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp dfi.fppized.f90 dfi.fppized.f90:1876:25: 1876 | IF(NEG) 170,170,180 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) dfi.fppized.f90:1913:25: 1913 | IF(NEG) 300,300,320 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) dfi.fppized.f90:2081:16: 2081 | 110 X(J)=DTEMP | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 110 at (1) dfi.fppized.f90:2084:25: 2084 | 120 AD(J)=D(J,NZ,JET,X) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 120 at (1) dfi.fppized.f90:2094:10: 2094 | 130 K=-K | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 130 at (1) dfi.fppized.f90:2104:10: 2104 | 140 K=-K | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 140 at (1) dfi.fppized.f90:2224:28: 2224 | 345 IEXT(NZZ-J)=IEXT(NZ-J) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 345 at (1) dfi.fppized.f90:2229:23: 2229 | 360 IEXT(J)=IEXT(J+1) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 360 at (1) dfi.fppized.f90:2281:37: 2281 | 500 DTEMP=DTEMP+A(K+1)*DCOS(DNUM*K) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 500 at (1) dfi.fppized.f90:2283:20: 2283 | 510 ALPHA(J)=DTEMP | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 510 at (1) dfi.fppized.f90:2285:28: 2285 | 550 ALPHA(J)=2*ALPHA(J)/CN | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 550 at (1) dfi.fppized.f90:2299:22: 2299 | 520 P(K)=2.0*BB*A(K) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 520 at (1) dfi.fppized.f90:2303:30: 2303 | 525 P(K)=P(K)+Q(K)+AA*A(K+1) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 525 at (1) dfi.fppized.f90:2306:25: 2306 | 530 P(K)=P(K)+AA*A(K-1) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 530 at (1) dfi.fppized.f90:2309:16: 2309 | 535 Q(K)=-A(K) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 535 at (1) dfi.fppized.f90:2313:19: 2313 | 543 ALPHA(J)=P(J) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 543 at (1) dfi.fppized.f90:2331:25: 2331 | IF(J-K) 1,2,1 | 1 Warning: Fortran 2018 deleted feature: Arithmetic IF statement at (1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o flt2ieee.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 flt2ieee.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gbyte.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gbyte.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o get_region_center.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 get_region_center.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o grib1_routines.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 grib1_routines.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o grib_dec.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 grib_dec.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o grib_enc.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 grib_enc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o grib_seek.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 grib_seek.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o grib_uthin.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 grib_uthin.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribgetbds.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribgetbds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribgetbms.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribgetbms.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribgetgds.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribgetgds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribgetpds.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribgetpds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribhdr2file.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribhdr2file.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribmap.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribmap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribputbds.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribputbds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribputgds.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribputgds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gribputpds.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gribputpds.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gridnav.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gridnav.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o init_dec_struct.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 init_dec_struct.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o init_gribhdr.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 init_gribhdr.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 init_modules.F90 -o init_modules.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_nesting.F90 -o module_nesting.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_nesting.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_nesting.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o init_modules.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp init_modules.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 init_modules_em.F90 -o init_modules_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o init_modules_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp init_modules_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o io_int_idx.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 io_int_idx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o landread.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 landread.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ld_dec_lookup.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ld_dec_lookup.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o ld_grib_origctrs.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 ld_grib_origctrs.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mcsqb1.F90 -o mcsqb1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mcsqb1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mcsqb1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mcsqf1.F90 -o mcsqf1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mcsqf1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mcsqf1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mediation_feedback_domain.F90 -o mediation_feedback_domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_intermediate_nmm.F90 -o module_intermediate_nmm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_intermediate_nmm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_intermediate_nmm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mediation_feedback_domain.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mediation_feedback_domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mediation_force_domain.F90 -o mediation_force_domain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mediation_force_domain.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mediation_force_domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mediation_integrate.F90 -o mediation_integrate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mediation_integrate.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mediation_integrate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 mediation_interp_domain.F90 -o mediation_interp_domain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mediation_interp_domain.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mediation_interp_domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_advect_em.F90 -o module_advect_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_advect_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_advect_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_after_all_rk_steps.F90 -o module_after_all_rk_steps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diagnostics_driver.F90 -o module_diagnostics_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diag_afwa.F90 -o module_diag_afwa.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diag_afwa_hail.F90 -o module_diag_afwa_hail.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diag_afwa_hail.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_diag_afwa_hail.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diag_afwa.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_diag_afwa.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diag_cl.F90 -o module_diag_cl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diag_cl.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_diag_cl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diag_misc.F90 -o module_diag_misc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diag_misc.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_diag_misc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diagnostics_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_diagnostics_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_after_all_rk_steps.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_after_all_rk_steps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_big_step_utilities_em.F90 -o module_big_step_utilities_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_big_step_utilities_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_big_step_utilities_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_fogdes.F90 -o module_bl_fogdes.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_fogdes.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_fogdes.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_bl_gwdo.F90 -o module_bl_gwdo.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_bl_gwdo.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_bl_gwdo.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_check_a_mundo.F90 -o module_check_a_mundo.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_check_a_mundo.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_check_a_mundo.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_convtrans_prep.F90 -o module_convtrans_prep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_convtrans_prep.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_convtrans_prep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cu_gf.F90 -o module_cu_gf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cu_gf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cu_gf.fppized.f90 module_cu_gf.fppized.f90:3160:24: 3160 | DO 100 i=its,itf | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) module_cu_gf.fppized.f90:3763:24: 3763 | DO 100 i=its,itf | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 100 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_cumulus_driver.F90 -o module_cumulus_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_cumulus_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_cumulus_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_damping_em.F90 -o module_damping_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_damping_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_damping_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_diffusion_em.F90 -o module_diffusion_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_diffusion_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_diffusion_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_em.F90 -o module_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fddagd_driver.F90 -o module_fddagd_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fddagd_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_fddagd_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_fddaobs_driver.F90 -o module_fddaobs_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_fddaobs_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_fddaobs_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_first_rk_step_part1.F90 -o module_first_rk_step_part1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_force_scm.F90 -o module_force_scm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_init_utilities.F90 -o module_init_utilities.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_init_utilities.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_init_utilities.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_force_scm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_force_scm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_pbl_driver.F90 -o module_pbl_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_pbl_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_pbl_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_radiation_driver.F90 -o module_radiation_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_aerosol.F90 -o module_ra_aerosol.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_aerosol.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ra_aerosol.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_flg.F90 -o module_ra_flg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_flg.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ra_flg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_ra_goddard.F90 -o module_ra_goddard.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_ra_goddard.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_ra_goddard.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_radiation_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_radiation_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_shallowcu_driver.F90 -o module_shallowcu_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_shcu_camuwshcu_driver.F90 -o module_shcu_camuwshcu_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_shcu_camuwshcu_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_shcu_camuwshcu_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_shallowcu_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_shallowcu_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_surface_driver.F90 -o module_surface_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_fogdes.F90 -o module_sf_fogdes.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_fogdes.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_fogdes.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_gfs.F90 -o module_sf_gfs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_progtm.F90 -o module_progtm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_progtm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_progtm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_gfs.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_gfs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_idealscmsfclay.F90 -o module_sf_idealscmsfclay.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_idealscmsfclay.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_idealscmsfclay.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_noah_seaice_drv.F90 -o module_sf_noah_seaice_drv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_noah_seaice_drv.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_noah_seaice_drv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_ocean_driver.F90 -o module_sf_ocean_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_3dpwp.F90 -o module_sf_3dpwp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_3dpwp.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_3dpwp.fppized.f90 module_sf_3dpwp.fppized.f90:238:33: 238 | do 635 i = i_start, i_end | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 635 at (1) module_sf_3dpwp.fppized.f90:308:36: 308 | do 6327 j = j_start-1, j_end+1 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 6327 at (1) module_sf_3dpwp.fppized.f90:334:36: 334 | do 410 i = i_start, i_end | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 410 at (1) module_sf_3dpwp.fppized.f90:496:27: 496 | do 510 j = jts, jte | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 510 at (1) module_sf_3dpwp.fppized.f90:542:25: 542 | do 24 j = jts, jte | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 24 at (1) module_sf_3dpwp.fppized.f90:543:24: 543 | do 24 k = 1, okme | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 24 at (1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_ocean_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_ocean_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_scmflux.F90 -o module_sf_scmflux.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_scmflux.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_scmflux.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_scmskintemp.F90 -o module_sf_scmskintemp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_scmskintemp.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_scmskintemp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_sfcdiags.F90 -o module_sf_sfcdiags.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_sfcdiags.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_sfcdiags.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_sfcdiags_ruclsm.F90 -o module_sf_sfcdiags_ruclsm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_sfcdiags_ruclsm.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_sfcdiags_ruclsm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_ssib.F90 -o module_sf_ssib.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_ssib.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_ssib.fppized.f90 module_sf_ssib.fppized.f90:1219:28: 1219 | DO 1100 IWAVE = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1100 at (1) module_sf_ssib.fppized.f90:1220:28: 1220 | DO 1100 IRAD = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1100 at (1) module_sf_ssib.fppized.f90:3056:25: 3056 | do 202 iwave = 1, 3 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 202 at (1) module_sf_ssib.fppized.f90:3057:25: 3057 | do 202 irad = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 202 at (1) module_sf_ssib.fppized.f90:3414:26: 3414 | DO 7000 IWAVE = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 7000 at (1) module_sf_ssib.fppized.f90:3415:26: 3415 | DO 7000 IRAD = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 7000 at (1) module_sf_ssib.fppized.f90:3486:25: 3486 | do 202 iwave = 1, 3 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 202 at (1) module_sf_ssib.fppized.f90:3487:25: 3487 | do 202 irad = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 202 at (1) module_sf_ssib.fppized.f90:3837:26: 3837 | DO 7000 IWAVE = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 7000 at (1) module_sf_ssib.fppized.f90:3838:26: 3838 | DO 7000 IRAD = 1, 2 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 7000 at (1) module_sf_ssib.fppized.f90:4441:17: 4441 | 7400 DEP(I) = 0. | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 7400 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_sstskin.F90 -o module_sf_sstskin.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_sstskin.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_sstskin.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_sf_tmnupdate.F90 -o module_sf_tmnupdate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_sf_tmnupdate.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_sf_tmnupdate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_surface_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_surface_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_first_rk_step_part1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_first_rk_step_part1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_first_rk_step_part2.F90 -o module_first_rk_step_part2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_physics_addtendc.F90 -o module_physics_addtendc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_physics_addtendc.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_physics_addtendc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_first_rk_step_part2.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_first_rk_step_part2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_integrate.F90 -o module_integrate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_integrate.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_integrate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_microphysics_driver.F90 -o module_microphysics_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mixactivate.F90 -o module_mixactivate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mixactivate.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mixactivate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_gsfcgce.F90 -o module_mp_gsfcgce.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_gsfcgce.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_gsfcgce.fppized.f90 module_mp_gsfcgce.fppized.f90:869:16: 869 | 10 PF=PF*TEMP | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 10 at (1) module_mp_gsfcgce.fppized.f90:876:34: 876 | 30 G1TO2=G1TO2 + B(K1)*TEMP**K1 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 30 at (1) module_mp_gsfcgce.fppized.f90:1686:26: 1686 | do 2000 i=its,ite | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 2000 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_kessler.F90 -o module_mp_kessler.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_kessler.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_kessler.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_lin.F90 -o module_mp_lin.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_lin.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_lin.fppized.f90 module_mp_lin.fppized.f90:2744:16: 2744 | 10 PF=PF*TEMP | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 10 at (1) module_mp_lin.fppized.f90:2751:34: 2751 | 30 G1TO2=G1TO2 + B(K1)*TEMP**K1 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 30 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_mp_sbu_ylin.F90 -o module_mp_sbu_ylin.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_mp_sbu_ylin.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_mp_sbu_ylin.fppized.f90 module_mp_sbu_ylin.fppized.f90:1734:16: 1734 | 10 PF=PF*TEMP | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 10 at (1) module_mp_sbu_ylin.fppized.f90:1741:34: 1741 | 30 G1TO2=G1TO2 + B(K1)*TEMP**K1 | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 30 at (1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_microphysics_driver.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_microphysics_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_microphysics_zero_out.F90 -o module_microphysics_zero_out.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_microphysics_zero_out.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_microphysics_zero_out.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_optional_input.F90 -o module_optional_input.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_optional_input.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_optional_input.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_polarfft.F90 -o module_polarfft.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_polarfft.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_polarfft.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_small_step_em.F90 -o module_small_step_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_small_step_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_small_step_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_soil_pre.F90 -o module_soil_pre.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_soil_pre.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_soil_pre.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_solvedebug_em.F90 -o module_solvedebug_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_solvedebug_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_solvedebug_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 module_wrf_top.F90 -o module_wrf_top.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o module_wrf_top.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp module_wrf_top.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 msntb1.F90 -o msntb1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o msntb1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp msntb1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 msntf1.F90 -o msntf1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o msntf1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp msntf1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nest_init_utils.F90 -o nest_init_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nest_init_utils.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nest_init_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 nl_set_6_routines.F90 -o nl_set_6_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nl_set_6_routines.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nl_set_6_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pack_spatial.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 pack_spatial.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f2kb.F90 -o r1f2kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f2kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r1f2kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f2kf.F90 -o r1f2kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f2kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r1f2kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f3kb.F90 -o r1f3kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f3kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r1f3kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f3kf.F90 -o r1f3kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f3kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r1f3kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f4kb.F90 -o r1f4kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f4kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r1f4kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f4kf.F90 -o r1f4kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f4kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r1f4kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f5kb.F90 -o r1f5kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f5kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r1f5kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1f5kf.F90 -o r1f5kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1f5kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r1f5kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1fgkb.F90 -o r1fgkb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1fgkb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r1fgkb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r1fgkf.F90 -o r1fgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r1fgkf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r1fgkf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r8_factor.F90 -o r8_factor.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r8_factor.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r8_factor.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r8_mcfti1.F90 -o r8_mcfti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r8_mcfti1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r8_mcfti1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 r8_tables.F90 -o r8_tables.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o r8_tables.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp r8_tables.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o read_grib.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 read_grib.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfft1b.F90 -o rfft1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfft1b.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp rfft1b.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfft1f.F90 -o rfft1f.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfft1f.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp rfft1f.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfft1i.F90 -o rfft1i.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfft1i.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp rfft1i.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfftb1.F90 -o rfftb1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfftb1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp rfftb1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rfftf1.F90 -o rfftf1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rfftf1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp rfftf1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 rffti1.F90 -o rffti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rffti1.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp rffti1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o set_bytes.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 set_bytes.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 set_timekeeping.F90 -o set_timekeeping.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_timekeeping.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp set_timekeeping.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o setfeenv.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 setfeenv.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 solve_em.F90 -o solve_em.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o solve_em.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp solve_em.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 solve_interface.F90 -o solve_interface.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o solve_interface.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp solve_interface.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o trim.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 trim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o upd_child_errmsg.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 upd_child_errmsg.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf.F90 -o wrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp wrf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_fddaobs_in.F90 -o wrf_fddaobs_in.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_fddaobs_in.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp wrf_fddaobs_in.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 wrf_shutdown.F90 -o wrf_shutdown.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_shutdown.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp wrf_shutdown.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f2kb.F90 -o z1f2kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f2kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp z1f2kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f2kf.F90 -o z1f2kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f2kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp z1f2kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f3kb.F90 -o z1f3kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f3kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp z1f3kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f3kf.F90 -o z1f3kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f3kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp z1f3kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f4kb.F90 -o z1f4kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f4kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp z1f4kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f4kf.F90 -o z1f4kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f4kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp z1f4kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f5kb.F90 -o z1f5kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f5kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp z1f5kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1f5kf.F90 -o z1f5kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1f5kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp z1f5kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1fgkb.F90 -o z1fgkb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1fgkb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp z1fgkb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1fgkf.F90 -o z1fgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1fgkf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp z1fgkf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1fm1b.F90 -o z1fm1b.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1fm1b.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp z1fm1b.fppized.f90 z1fm1b.fppized.f90:73:33: 71 | call z1f2kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 72 | else if ( nbr == 2 ) then 73 | call z1f2kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1b.fppized.f90:77:33: 75 | call z1f3kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 76 | else if ( nbr == 4 ) then 77 | call z1f3kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1b.fppized.f90:81:33: 79 | call z1f4kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 80 | else if ( nbr == 6 ) then 81 | call z1f4kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1b.fppized.f90:85:33: 83 | call z1f5kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 84 | else if ( nbr == 8 ) then 85 | call z1f5kb ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1b.fppized.f90:89:42: 87 | call z1fgkb ( ido, ip, l1, lid, na, c, c, inc2, ch, ch, 2, wa(iw) ) | 2 88 | else if ( nbr == 10 ) then 89 | call z1fgkb ( ido, ip, l1, lid, na, ch, ch, 2, c, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 z1fm1f.F90 -o z1fm1f.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o z1fm1f.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp z1fm1f.fppized.f90 z1fm1f.fppized.f90:72:34: 70 | call z1f2kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 71 | else if ( nbr == 2 ) then 72 | call z1f2kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1f.fppized.f90:76:34: 74 | call z1f3kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 75 | else if ( nbr == 4 ) then 76 | call z1f3kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1f.fppized.f90:80:34: 78 | call z1f4kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 79 | else if ( nbr == 6 ) then 80 | call z1f4kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1f.fppized.f90:84:34: 82 | call z1f5kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | 2 83 | else if ( nbr == 8 ) then 84 | call z1f5kf ( ido, l1, na, ch, 2, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). z1fm1f.fppized.f90:88:43: 86 | call z1fgkf ( ido, ip, l1, lid, na, c, c, inc2, ch, ch, 1, wa(iw) ) | 2 87 | else if ( nbr == 10 ) then 88 | call z1fgkf ( ido, ip, l1, lid, na, ch, ch, 2, c, c, inc2, wa(iw) ) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/COMPLEX(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf2kb.F90 -o zmf2kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf2kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp zmf2kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf2kf.F90 -o zmf2kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf2kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp zmf2kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf3kb.F90 -o zmf3kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf3kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp zmf3kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf3kf.F90 -o zmf3kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf3kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp zmf3kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf4kb.F90 -o zmf4kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf4kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp zmf4kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf4kf.F90 -o zmf4kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf4kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp zmf4kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf5kb.F90 -o zmf5kb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf5kb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp zmf5kb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmf5kf.F90 -o zmf5kf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmf5kf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp zmf5kf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmfgkb.F90 -o zmfgkb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmfgkb.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp zmfgkb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 zmfgkf.F90 -o zmfgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zmfgkf.fppized.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp zmfgkf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp -DSPEC_OPENMP ESMF_Alarm.fppized.o ESMF_AlarmClock.fppized.o ESMF_Base.fppized.o ESMF_BaseTime.fppized.o ESMF_Calendar.fppized.o ESMF_Clock.fppized.o ESMF_Fraction.fppized.o ESMF_Mod.fppized.o ESMF_Stubs.fppized.o ESMF_Time.fppized.o ESMF_TimeInterval.fppized.o Meat.fppized.o adapt_timestep_em.fppized.o alloc_2d.o apply_bitmap.o bobrand.o c1f2kb.fppized.o c1f2kf.fppized.o c1f3kb.fppized.o c1f3kf.fppized.o c1f4kb.fppized.o c1f4kf.fppized.o c1f5kb.fppized.o c1f5kf.fppized.o c1fgkb.fppized.o c1fgkf.fppized.o c1fm1b.fppized.o c1fm1f.fppized.o c_code.o cfft1b.fppized.o cfft1i.fppized.o cfftmb.fppized.o cfftmf.fppized.o cfftmi.fppized.o cmf2kb.fppized.o cmf2kf.fppized.o cmf3kb.fppized.o cmf3kf.fppized.o cmf4kb.fppized.o cmf4kf.fppized.o cmf5kb.fppized.o cmf5kf.fppized.o cmfgkb.fppized.o cmfgkf.fppized.o cmfm1b.fppized.o cmfm1f .fppized.o collect_on_comm.o cosq1b.fppized.o cosq1f.fppized.o cosq1i.fppized.o cosqb1.fppized.o cosqf1.fppized.o cosqmb.fppized.o cosqmf.fppized.o cosqmi.fppized.o couple_or_uncouple_em.fppized.o d1f2kb.fppized.o d1f2kf.fppized.o d1f3kb.fppized.o d1f3kf.fppized.o d1f4kb.fppized.o d1f4kf.fppized.o d1f5kb.fppized.o d1f5kf.fppized.o d1fgkb.fppized.o d1fgkf.fppized.o data.o dfft1b.fppized.o dfft1f.fppized.o dfft1i.fppized.o dfftb1.fppized.o dfftf1.fppized.o dffti1.fppized.o dfi.fppized.o field_routines.fppized.o flt2ieee.o gbyte.o get_region_center.o grib1_routines.o grib_dec.o grib_enc.o grib_seek.o grib_uthin.o gribgetbds.o gribgetbms.o gribgetgds.o gribgetpds.o gribhdr2file.o gribmap.o gribputbds.o gribputgds.o gribputpds.o gridnav.o hires_timer.o init_dec_struct.o init_gribhdr.o init_modules.fppized.o init_modules_em.fppized.o input_wrf.fppized.o interp_fcn.fppized.o io_int.fppized.o io_int_idx.o landread.o ld_dec_lookup.o ld_grib_origctrs.o libmassv.fppized.o mcsqb1.fppized.o mcsqf1.fppized.o mediation_ feedback_domain.fppized.o mediation_force_domain.fppized.o mediation_integrate.fppized.o mediation_interp_domain.fppized.o mediation_wrfmain.fppized.o misc.o module_advect_em.fppized.o module_after_all_rk_steps.fppized.o module_alloc_space_0.fppized.o module_alloc_space_1.fppized.o module_alloc_space_2.fppized.o module_alloc_space_3.fppized.o module_alloc_space_4.fppized.o module_alloc_space_5.fppized.o module_alloc_space_6.fppized.o module_alloc_space_7.fppized.o module_alloc_space_8.fppized.o module_alloc_space_9.fppized.o module_avgflx_em.fppized.o module_bc.fppized.o module_bc_em.fppized.o module_bc_time_utilities.fppized.o module_big_step_utilities_em.fppized.o module_bl_acm.fppized.o module_bl_boulac.fppized.o module_bl_camuwpbl_driver.fppized.o module_bl_fogdes.fppized.o module_bl_gbmpbl.fppized.o module_bl_gfs.fppized.o module_bl_gfs2011.fppized.o module_bl_gwdo.fppized.o module_bl_mfshconvpbl.fppized.o module_bl_mrf.fppized.o module_bl_myjpbl.fppized.o module_bl_myjurb.fppized.o module_bl_mynn.fp pized.o module_bl_qnsepbl.fppized.o module_bl_qnsepbl09.fppized.o module_bl_temf.fppized.o module_bl_ysu.fppized.o module_cam_bl_diffusion_solver.fppized.o module_cam_bl_eddy_diff.fppized.o module_cam_cldwat.fppized.o module_cam_constituents.fppized.o module_cam_error_function.fppized.o module_cam_esinti.fppized.o module_cam_gffgch.fppized.o module_cam_molec_diff.fppized.o module_cam_mp_cldwat2m_micro.fppized.o module_cam_mp_conv_water.fppized.o module_cam_mp_microp_aero.fppized.o module_cam_mp_modal_aero_initialize_data_phys.fppized.o module_cam_mp_ndrop.fppized.o module_cam_mp_qneg3.fppized.o module_cam_mp_radconstants.fppized.o module_cam_physconst.fppized.o module_cam_shr_const_mod.fppized.o module_cam_shr_kind_mod.fppized.o module_cam_support.fppized.o module_cam_trb_mtn_stress.fppized.o module_cam_upper_bc.fppized.o module_cam_wv_saturation.fppized.o module_check_a_mundo.fppized.o module_comm_dm.fppized.o module_comm_dm_0.fppized.o module_comm_dm_1.fppized.o module_comm_dm_2.fppized.o module_comm_dm _3.fppized.o module_comm_dm_4.fppized.o module_comm_nesting_dm.fppized.o module_configure.fppized.o module_convtrans_prep.fppized.o module_cpl.fppized.o module_cpl_oasis3.fppized.o module_cu_bmj.fppized.o module_cu_camzm.fppized.o module_cu_camzm_driver.fppized.o module_cu_g3.fppized.o module_cu_gd.fppized.o module_cu_gf.fppized.o module_cu_kf.fppized.o module_cu_kfeta.fppized.o module_cu_mesosas.fppized.o module_cu_nsas.fppized.o module_cu_osas.fppized.o module_cu_sas.fppized.o module_cu_tiedtke.fppized.o module_cumulus_driver.fppized.o module_damping_em.fppized.o module_data_cam_mam_aero.fppized.o module_data_cam_mam_asect.fppized.o module_data_gocart_dust.fppized.o module_date_time.fppized.o module_diag_afwa.fppized.o module_diag_afwa_hail.fppized.o module_diag_cl.fppized.o module_diag_misc.fppized.o module_diag_pld.fppized.o module_diagnostics_driver.fppized.o module_diffusion_em.fppized.o module_dm.fppized.o module_domain.fppized.o module_domain_type.fppized.o module_driver_constants.fppized.o module _em.fppized.o module_fdda_psufddagd.fppized.o module_fdda_spnudging.fppized.o module_fddagd_driver.fppized.o module_fddaobs_driver.fppized.o module_fddaobs_rtfdda.fppized.o module_first_rk_step_part1.fppized.o module_first_rk_step_part2.fppized.o module_force_scm.fppized.o module_fr_fire_atm.fppized.o module_fr_fire_core.fppized.o module_fr_fire_driver.fppized.o module_fr_fire_driver_wrf.fppized.o module_fr_fire_model.fppized.o module_fr_fire_phys.fppized.o module_fr_fire_util.fppized.o module_gfs_funcphys.fppized.o module_gfs_machine.fppized.o module_gfs_physcons.fppized.o module_init_utilities.fppized.o module_integrate.fppized.o module_intermediate_nmm.fppized.o module_internal_header_util.fppized.o module_interp_store.fppized.o module_io.fppized.o module_io_domain.fppized.o module_io_quilt.fppized.o module_io_wrf.fppized.o module_lightning_driver.fppized.o module_llxy.fppized.o module_ltng_cpmpr92z.fppized.o module_ltng_crmpr92.fppized.o module_ltng_iccg.fppized.o module_ltng_lpi.fppized.o module_mach ine.fppized.o module_microphysics_driver.fppized.o module_microphysics_zero_out.fppized.o module_mixactivate.fppized.o module_model_constants.fppized.o module_mp_HWRF.fppized.o module_mp_cammgmp_driver.fppized.o module_mp_etanew.fppized.o module_mp_etaold.fppized.o module_mp_fast_sbm.fppized.o module_mp_full_sbm.fppized.o module_mp_gsfcgce.fppized.o module_mp_kessler.fppized.o module_mp_lin.fppized.o module_mp_milbrandt2mom.fppized.o module_mp_morr_two_moment.fppized.o module_mp_nssl_2mom.fppized.o module_mp_radar.fppized.o module_mp_sbu_ylin.fppized.o module_mp_thompson.fppized.o module_mp_wdm5.fppized.o module_mp_wdm6.fppized.o module_mp_wsm3.fppized.o module_mp_wsm5.fppized.o module_mp_wsm6.fppized.o module_nesting.fppized.o module_optional_input.fppized.o module_pbl_driver.fppized.o module_physics_addtendc.fppized.o module_physics_init.fppized.o module_polarfft.fppized.o module_progtm.fppized.o module_quilt_outbuf_ops.fppized.o module_ra_HWRF.fppized.o module_ra_aerosol.fppized.o module_ra_cam.fppized .o module_ra_cam_support.fppized.o module_ra_clWRF_support.fppized.o module_ra_flg.fppized.o module_ra_gfdleta.fppized.o module_ra_goddard.fppized.o module_ra_gsfcsw.fppized.o module_ra_hs.fppized.o module_ra_rrtm.fppized.o module_ra_sw.fppized.o module_radiation_driver.fppized.o module_sf_3dpwp.fppized.o module_sf_bem.fppized.o module_sf_bep.fppized.o module_sf_bep_bem.fppized.o module_sf_clm.fppized.o module_sf_fogdes.fppized.o module_sf_gfdl.fppized.o module_sf_gfs.fppized.o module_sf_idealscmsfclay.fppized.o module_sf_lake.fppized.o module_sf_myjsfc.fppized.o module_sf_mynn.fppized.o module_sf_noah_seaice.fppized.o module_sf_noah_seaice_drv.fppized.o module_sf_noahdrv.fppized.o module_sf_noahlsm.fppized.o module_sf_noahlsm_glacial_only.fppized.o module_sf_noahmp_glacier.fppized.o module_sf_noahmp_groundwater.fppized.o module_sf_noahmpdrv.fppized.o module_sf_noahmplsm.fppized.o module_sf_ocean_driver.fppized.o module_sf_oml.fppized.o module_sf_pxlsm.fppized.o module_sf_pxlsm_data.fppized.o module_sf_px sfclay.fppized.o module_sf_qnsesfc.fppized.o module_sf_ruclsm.fppized.o module_sf_scmflux.fppized.o module_sf_scmskintemp.fppized.o module_sf_sfcdiags.fppized.o module_sf_sfcdiags_ruclsm.fppized.o module_sf_sfclay.fppized.o module_sf_sfclayrev.fppized.o module_sf_slab.fppized.o module_sf_ssib.fppized.o module_sf_sstskin.fppized.o module_sf_temfsfclay.fppized.o module_sf_tmnupdate.fppized.o module_sf_urban.fppized.o module_shallowcu_driver.fppized.o module_shcu_camuwshcu.fppized.o module_shcu_camuwshcu_driver.fppized.o module_shcu_grims.fppized.o module_small_step_em.fppized.o module_soil_pre.fppized.o module_solvedebug_em.fppized.o module_state_description.fppized.o module_stoch.fppized.o module_streams.fppized.o module_surface_driver.fppized.o module_symbols_util.fppized.o module_tiles.fppized.o module_timing.fppized.o module_utility.fppized.o module_wind_fitch.fppized.o module_wrf_error.fppized.o module_wrf_top.fppized.o mradb2.fppized.o mradb3.fppized.o mradb4.fppized.o mradb5.fppized.o mradbg.fppized. o mradf2.fppized.o mradf3.fppized.o mradf4.fppized.o mradf5.fppized.o mradfg.fppized.o mrftb1.fppized.o mrftf1.fppized.o mrfti1.fppized.o msntb1.fppized.o msntf1.fppized.o my_strtok.o nest_init_utils.fppized.o netcdf/attr.o netcdf/dim.o netcdf/error.o netcdf/fort-attio.o netcdf/fort-control.o netcdf/fort-dim.o netcdf/fort-genatt.o netcdf/fort-geninq.o netcdf/fort-genvar.o netcdf/fort-lib.o netcdf/fort-misc.o netcdf/fort-varaio.o netcdf/libvers.o netcdf/nc.o netcdf/ncio.o netcdf/ncx.o netcdf/putget.o netcdf/string.o netcdf/v1hpg.o netcdf/v2i.o netcdf/var.o nl_get_0_routines.fppized.o nl_get_1_routines.fppized.o nl_get_2_routines.fppized.o nl_get_3_routines.fppized.o nl_get_4_routines.fppized.o nl_get_5_routines.fppized.o nl_get_6_routines.fppized.o nl_get_7_routines.fppized.o nl_set_0_routines.fppized.o nl_set_1_routines.fppized.o nl_set_2_routines.fppized.o nl_set_6_routines.fppized.o nl_set_7_routines.fppized.o output_wrf.fppized.o pack_spatial.o pack_utils.o period.o r1f2kb.fppized.o r1f2kf.fppized.o r1 f3kb.fppized.o r1f3kf.fppized.o r1f4kb.fppized.o r1f4kf.fppized.o r1f5kb.fppized.o r1f5kf.fppized.o r1fgkb.fppized.o r1fgkf.fppized.o r4_factor.fppized.o r4_mcfti1.fppized.o r4_tables.fppized.o r8_factor.fppized.o r8_mcfti1.fppized.o r8_tables.fppized.o read_grib.o reg_parse.o rfft1b.fppized.o rfft1f.fppized.o rfft1i.fppized.o rfftb1.fppized.o rfftf1.fppized.o rffti1.fppized.o rfftmb.fppized.o rfftmf.fppized.o rfftmi.fppized.o rsl_bcast.o set_bytes.o set_timekeeping.fppized.o setfeenv.o sint.fppized.o solve_em.fppized.o solve_interface.fppized.o start_domain.fppized.o start_em.fppized.o symtab_gen.o task_for_point.o track_driver.fppized.o track_input.fppized.o trim.o type.o upd_child_errmsg.o wrf.fppized.o wrf_bdyin.fppized.o wrf_bdyout.fppized.o wrf_debug.fppized.o wrf_ext_read_field.fppized.o wrf_ext_write_field.fppized.o wrf_fddaobs_in.fppized.o wrf_io.fppized.o wrf_num_bytes_between.o wrf_shutdown.fppized.o wrf_timeseries.fppized.o wrf_tsin.fppized.o xercon.fppized.o xerfft.fppized.o z1f2kb.fppized.o z1f2kf.fppized.o z1f3kb.fppized.o z1f3kf.fppized.o z1f4kb.fppized.o z1f4kf.fppized.o z1f5kb.fppized.o z1f5kf.fppized.o z1fgkb.fppized.o z1fgkf.fppized.o z1fm1b.fppized.o z1fm1f.fppized.o zmf2kb.fppized.o zmf2kf.fppized.o zmf3kb.fppized.o zmf3kf.fppized.o zmf4kb.fppized.o zmf4kf.fppized.o zmf5kb.fppized.o zmf5kf.fppized.o zmfgkb.fppized.o zmfgkf.fppized.o -o wrf_s z1fm1f.fppized.f90:86:74: warning: type of ‘z1fgkf’ does not match original declaration [-Wlto-type-mismatch] 86 | call z1fgkf ( ido, ip, l1, lid, na, c, c, inc2, ch, ch, 1, wa(iw) ) | ^ z1fgkf.fppized.f90:1:17: note: ‘z1fgkf’ was previously declared here 1 | subroutine z1fgkf ( ido, ip, l1, lid, na, cc, cc1, in1, ch, ch1, in2, wa ) | ^ z1fgkf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used z1fm1b.fppized.f90:87:73: warning: type of ‘z1fgkb’ does not match original declaration [-Wlto-type-mismatch] 87 | call z1fgkb ( ido, ip, l1, lid, na, c, c, inc2, ch, ch, 2, wa(iw) ) | ^ z1fgkb.fppized.f90:1:17: note: ‘z1fgkb’ was previously declared here 1 | subroutine z1fgkb ( ido, ip, l1, lid, na, cc, cc1, in1, ch, ch1, in2, wa ) | ^ z1fgkb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used z1fm1f.fppized.f90:82:58: warning: type of ‘z1f5kf’ does not match original declaration [-Wlto-type-mismatch] 82 | call z1f5kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ z1f5kf.fppized.f90:1:17: note: ‘z1f5kf’ was previously declared here 1 | subroutine z1f5kf ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ z1f5kf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used z1fm1b.fppized.f90:83:57: warning: type of ‘z1f5kb’ does not match original declaration [-Wlto-type-mismatch] 83 | call z1f5kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ z1f5kb.fppized.f90:1:17: note: ‘z1f5kb’ was previously declared here 1 | subroutine z1f5kb ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ z1f5kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used z1fm1f.fppized.f90:78:58: warning: type of ‘z1f4kf’ does not match original declaration [-Wlto-type-mismatch] 78 | call z1f4kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ z1f4kf.fppized.f90:1:17: note: ‘z1f4kf’ was previously declared here 1 | subroutine z1f4kf ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ z1f4kf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used z1fm1b.fppized.f90:79:57: warning: type of ‘z1f4kb’ does not match original declaration [-Wlto-type-mismatch] 79 | call z1f4kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ z1f4kb.fppized.f90:1:17: note: ‘z1f4kb’ was previously declared here 1 | subroutine z1f4kb ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ z1f4kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used z1fm1f.fppized.f90:74:58: warning: type of ‘z1f3kf’ does not match original declaration [-Wlto-type-mismatch] 74 | call z1f3kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ z1f3kf.fppized.f90:1:17: note: ‘z1f3kf’ was previously declared here 1 | subroutine z1f3kf ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ z1f3kf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used z1fm1b.fppized.f90:75:57: warning: type of ‘z1f3kb’ does not match original declaration [-Wlto-type-mismatch] 75 | call z1f3kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ z1f3kb.fppized.f90:1:17: note: ‘z1f3kb’ was previously declared here 1 | subroutine z1f3kb ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ z1f3kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used z1fm1f.fppized.f90:70:58: warning: type of ‘z1f2kf’ does not match original declaration [-Wlto-type-mismatch] 70 | call z1f2kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ z1f2kf.fppized.f90:1:17: note: ‘z1f2kf’ was previously declared here 1 | subroutine z1f2kf ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ z1f2kf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used z1fm1b.fppized.f90:71:57: warning: type of ‘z1f2kb’ does not match original declaration [-Wlto-type-mismatch] 71 | call z1f2kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ z1f2kb.fppized.f90:1:17: note: ‘z1f2kb’ was previously declared here 1 | subroutine z1f2kb ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ z1f2kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used output_wrf.fppized.f90:927:26: warning: type of ‘wrf_ext_write_field’ does not match original declaration [-Wlto-type-mismatch] 927 | ierr ) | ^ wrf_ext_write_field.fppized.f90:83:32: note: ‘wrf_ext_write_field’ was previously declared here 83 | SUBROUTINE wrf_ext_write_field(DataHandle,DateStr,Var,Field,FieldType,Comm,IOComm, & | ^ wrf_ext_write_field.fppized.f90:83:32: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used output_wrf.fppized.f90:1344:27: warning: type of ‘wrf_ext_write_field_arr’ does not match original declaration [-Wlto-type-mismatch] 1344 | ierr ) | ^ wrf_ext_write_field.fppized.f90:2:36: note: ‘wrf_ext_write_field_arr’ was previously declared here 2 | SUBROUTINE wrf_ext_write_field_arr(DataHandle,DateStr,Var & | ^ wrf_ext_write_field.fppized.f90:2:36: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:7060:59: warning: type of ‘nf_get_vara_text’ does not match original declaration [-Wlto-type-mismatch] 7060 | stat = NF_GET_VARA_TEXT (NCID,VarID,VStart,VCount,Data) | ^ netcdf/fort-varaio.c:17:1: note: type mismatch in parameter 6 17 | FCALLSCFUN5(NF_INT, nc_get_vara_text, NF_GET_VARA_TEXT, nf_get_vara_text, | ^ netcdf/fort-varaio.c:17:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varaio.c:17:1: note: ‘nf_get_vara_text_’ was previously declared here netcdf/fort-varaio.c:17:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_driver.fppized.f90:447:84: warning: type of ‘nf_put_vara_text’ does not match original declaration [-Wlto-type-mismatch] 447 | astat = NF_PUT_VARA_TEXT(ncid,var_id,start,count,grid%track_time_domain(m)) | ^ netcdf/fort-varaio.c:10:1: note: type mismatch in parameter 6 10 | FCALLSCFUN5(NF_INT, nc_put_vara_text, NF_PUT_VARA_TEXT, nf_put_vara_text, | ^ netcdf/fort-varaio.c:10:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varaio.c:10:1: note: ‘nf_put_vara_text_’ was previously declared here netcdf/fort-varaio.c:10:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:673:30: warning: type of ‘nf_strerror’ does not match original declaration [-Wlto-type-mismatch] 673 | errmsg = NF_STRERROR(err) | ^ netcdf/fort-misc.c:14:1: note: type mismatch in parameter 2 14 | FCALLSCFUN1(STRING, (char*)nc_strerror, NF_STRERROR, nf_strerror, | ^ netcdf/fort-misc.c:14:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-misc.c:14:1: note: ‘nf_strerror_’ was previously declared here netcdf/fort-misc.c:14:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:1614:41: warning: type of ‘nf_inq_varname’ does not match original declaration [-Wlto-type-mismatch] 1614 | stat = NF_INQ_VARNAME(DH%NCID,i,Name) | ^ netcdf/fort-genvar.c:30:1: note: type mismatch in parameter 4 30 | FCALLSCFUN3(NF_INT, nc_inq_varname, NF_INQ_VARNAME, nf_inq_varname, | ^ netcdf/fort-genvar.c:30:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:30:1: note: ‘nf_inq_varname_’ was previously declared here netcdf/fort-genvar.c:30:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_driver.fppized.f90:444:47: warning: type of ‘nf_inq_varid’ does not match original declaration [-Wlto-type-mismatch] 444 | astat = NF_INQ_VARID(ncid,'Times',var_id) | ^ netcdf/fort-genvar.c:23:1: note: type mismatch in parameter 4 23 | FCALLSCFUN3(NF_INT, nc_inq_varid, NF_INQ_VARID, nf_inq_varid, | ^ netcdf/fort-genvar.c:23:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:23:1: note: ‘nf_inq_varid_’ was previously declared here netcdf/fort-genvar.c:23:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:7001:64: warning: type of ‘nf_inq_var’ does not match original declaration [-Wlto-type-mismatch] 7001 | stat = NF_INQ_VAR(NCID,VarID,FName,XType,NDims,DimIDs,NAtts) | ^ netcdf/fort-genvar.c:16:1: note: type mismatch in parameter 8 16 | FCALLSCFUN7(NF_INT, nc_inq_var, NF_INQ_VAR, nf_inq_var, | ^ netcdf/fort-genvar.c:16:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:16:1: note: ‘nf_inq_var_’ was previously declared here netcdf/fort-genvar.c:16:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_driver.fppized.f90:298:72: warning: type of ‘nf_def_var’ does not match original declaration [-Wlto-type-mismatch] 298 | astat = NF_DEF_VAR(ncid,'Times', NF_CHAR, 2, var_dim(1:2), var_id) | ^ netcdf/fort-genvar.c:9:1: note: type mismatch in parameter 7 9 | FCALLSCFUN6(NF_INT, nc_def_var, NF_DEF_VAR, nf_def_var, | ^ netcdf/fort-genvar.c:9:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:9:1: note: ‘nf_def_var_’ was previously declared here netcdf/fort-genvar.c:9:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:5308:71: warning: type of ‘nf_inq_att’ does not match original declaration [-Wlto-type-mismatch] 5308 | stat = NF_INQ_ATT(DH%NCID,DH%VarIDs(NVar),trim(Element),XType,XLen) | ^ netcdf/fort-genatt.c:8:1: note: type mismatch in parameter 6 8 | FCALLSCFUN5(NF_INT, nc_inq_att, NF_INQ_ATT, nf_inq_att, | ^ netcdf/fort-genatt.c:8:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:8:1: note: ‘nf_inq_att_’ was previously declared here netcdf/fort-genatt.c:8:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:7673:52: warning: type of ‘nf_inq_dimname’ does not match original declaration [-Wlto-type-mismatch] 7673 | stat = NF_INQ_DIMNAME(NCID,VDimIDs(1),dimname) | ^ netcdf/fort-dim.c:29:1: note: type mismatch in parameter 4 29 | FCALLSCFUN3(NF_INT, nc_inq_dimname, NF_INQ_DIMNAME, nf_inq_dimname, | ^ netcdf/fort-dim.c:29:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:29:1: note: ‘nf_inq_dimname_’ was previously declared here netcdf/fort-dim.c:29:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_driver.fppized.f90:287:71: warning: type of ‘nf_def_dim’ does not match original declaration [-Wlto-type-mismatch] 287 | astat = NF_DEF_DIM(ncid, 'time' , NF_UNLIMITED , time_dim ) | ^ netcdf/fort-dim.c:8:1: note: type mismatch in parameter 5 8 | FCALLSCFUN4(NF_INT, nc_def_dim, NF_DEF_DIM, nf_def_dim, | ^ netcdf/fort-dim.c:8:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:8:1: note: ‘nf_def_dim_’ was previously declared here netcdf/fort-dim.c:8:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:1543:47: warning: type of ‘nf_open’ does not match original declaration [-Wlto-type-mismatch] 1543 | stat = NF_OPEN(FileName, NF_NOWRITE, DH%NCID) | ^ netcdf/fort-control.c:21:1: note: type mismatch in parameter 4 21 | FCALLSCFUN3(NF_INT, nc_open, NF_OPEN, nf_open, | ^ netcdf/fort-control.c:21:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:21:1: note: ‘nf_open_’ was previously declared here netcdf/fort-control.c:21:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_driver.fppized.f90:280:55: warning: type of ‘nf_create’ does not match original declaration [-Wlto-type-mismatch] 280 | astat = NF_CREATE(track_output, NF_CLOBBER, ncid) | ^ netcdf/fort-control.c:7:1: note: type mismatch in parameter 4 7 | FCALLSCFUN3(NF_INT, nc_create, NF_CREATE, nf_create, | ^ netcdf/fort-control.c:7:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:7:1: note: ‘nf_create_’ was previously declared here netcdf/fort-control.c:7:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:5720:76: warning: type of ‘nf_get_att_double’ does not match original declaration [-Wlto-type-mismatch] 5720 | stat = NF_GET_ATT_DOUBLE(DH%NCID,DH%VarIDs(NVar),trim(Element), Buffer ) | ^ netcdf/fort-attio.c:147:1: note: type mismatch in parameter 5 147 | FCALLSCFUN4(NF_INT, nc_get_att_double, NF_GET_ATT_DOUBLE, nf_get_att_double, | ^ netcdf/fort-attio.c:147:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:147:1: note: ‘nf_get_att_double_’ was previously declared here netcdf/fort-attio.c:147:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:3969:90: warning: type of ‘nf_put_att_double’ does not match original declaration [-Wlto-type-mismatch] 3969 | stat = NF_PUT_ATT_DOUBLE(DH%NCID,DH%VarIDs(NVar),trim(Element), NF_DOUBLE,Count,Data ) | ^ netcdf/fort-attio.c:140:1: note: type mismatch in parameter 7 140 | FCALLSCFUN6(NF_INT, nc_put_att_double, NF_PUT_ATT_DOUBLE, nf_put_att_double, | ^ netcdf/fort-attio.c:140:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:140:1: note: ‘nf_put_att_double_’ was previously declared here netcdf/fort-attio.c:140:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:5340:74: warning: type of ‘nf_get_att_real’ does not match original declaration [-Wlto-type-mismatch] 5340 | stat = NF_GET_ATT_REAL(DH%NCID,DH%VarIDs(NVar),trim(Element), Buffer ) | ^ netcdf/fort-attio.c:132:1: note: type mismatch in parameter 5 132 | FCALLSCFUN4(NF_INT, nc_get_att_float, NF_GET_ATT_REAL, nf_get_att_real, | ^ netcdf/fort-attio.c:132:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:132:1: note: ‘nf_get_att_real_’ was previously declared here netcdf/fort-attio.c:132:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:3648:87: warning: type of ‘nf_put_att_real’ does not match original declaration [-Wlto-type-mismatch] 3648 | stat = NF_PUT_ATT_REAL(DH%NCID,DH%VarIDs(NVar),trim(Element), NF_FLOAT,Count,Data ) | ^ netcdf/fort-attio.c:120:1: note: type mismatch in parameter 7 120 | FCALLSCFUN6(NF_INT, nc_put_att_float, NF_PUT_ATT_REAL, nf_put_att_real, | ^ netcdf/fort-attio.c:120:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:120:1: note: ‘nf_put_att_real_’ was previously declared here netcdf/fort-attio.c:120:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:6100:73: warning: type of ‘nf_get_att_int’ does not match original declaration [-Wlto-type-mismatch] 6100 | stat = NF_GET_ATT_INT(DH%NCID,DH%VarIDs(NVar),trim(Element), Buffer ) | ^ netcdf/fort-attio.c:105:1: note: type mismatch in parameter 5 105 | FCALLSCFUN4(NF_INT, nc_get_att_int, NF_GET_ATT_INT, nf_get_att_int, | ^ netcdf/fort-attio.c:105:1: note: type ‘unsigned int’ should match type ‘long int’ wrf_io.fppized.f90:7616:55: warning: type of ‘nf_get_att_int’ does not match original declaration [-Wlto-type-mismatch] 7616 | stat = NF_GET_ATT_INT(NCID,VarID,'FieldType',FType) | ^ netcdf/fort-attio.c:105:1: note: type mismatch in parameter 5 105 | FCALLSCFUN4(NF_INT, nc_get_att_int, NF_GET_ATT_INT, nf_get_att_int, | ^ netcdf/fort-attio.c:105:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:105:1: note: ‘nf_get_att_int_’ was previously declared here netcdf/fort-attio.c:105:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:4290:84: warning: type of ‘nf_put_att_int’ does not match original declaration [-Wlto-type-mismatch] 4290 | stat = NF_PUT_ATT_INT(DH%NCID,DH%VarIDs(NVar),trim(Element), NF_INT,Count,Data ) | ^ netcdf/fort-attio.c:93:1: note: type mismatch in parameter 7 93 | FCALLSCFUN6(NF_INT, nc_put_att_int, NF_PUT_ATT_INT, nf_put_att_int, | ^ netcdf/fort-attio.c:93:1: note: type ‘unsigned int’ should match type ‘long int’ wrf_io.fppized.f90:7415:68: warning: type of ‘nf_put_att_int’ does not match original declaration [-Wlto-type-mismatch] 7415 | stat = NF_PUT_ATT_INT(NCID,VarID,'FieldType',NF_INT,1,FieldType) | ^ netcdf/fort-attio.c:93:1: note: type mismatch in parameter 7 93 | FCALLSCFUN6(NF_INT, nc_put_att_int, NF_PUT_ATT_INT, nf_put_att_int, | ^ netcdf/fort-attio.c:93:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:93:1: note: ‘nf_put_att_int_’ was previously declared here netcdf/fort-attio.c:93:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:6859:72: warning: type of ‘nf_get_att_text’ does not match original declaration [-Wlto-type-mismatch] 6859 | stat = NF_GET_ATT_TEXT(DH%NCID,DH%VarIDs(NVar),trim(Element), Data ) | ^ netcdf/fort-attio.c:19:1: note: type mismatch in parameter 5 19 | FCALLSCFUN4(NF_INT, nc_get_att_text, NF_GET_ATT_TEXT, nf_get_att_text, | ^ netcdf/fort-attio.c:19:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:19:1: note: ‘nf_get_att_text_’ was previously declared here netcdf/fort-attio.c:19:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_driver.fppized.f90:307:91: warning: type of ‘nf_put_att_text’ does not match original declaration [-Wlto-type-mismatch] 307 | astat = NF_PUT_ATT_TEXT(ncid,var_id,'description', len_trim(description),description) | ^ netcdf/fort-attio.c:12:1: note: type mismatch in parameter 6 12 | FCALLSCFUN5(NF_INT, nc_put_att_text, NF_PUT_ATT_TEXT, nf_put_att_text, | ^ netcdf/fort-attio.c:12:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:12:1: note: ‘nf_put_att_text_’ was previously declared here netcdf/fort-attio.c:12:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_quilt_outbuf_ops.fppized.f90:1085:87: warning: type of ‘retrieve_pieces_of_field_c’ does not match original declaration [-Wlto-type-mismatch] 1085 | CALL retrieve_pieces_of_field_c ( outbuf, VarNameAsInts, obufsz, Nbytes_tot, iret ) | ^ pack_utils.c:222:1: note: return value type mismatch 222 | RETRIEVE_PIECES_OF_FIELD_C ( char * buf , int varname[], int * insize, int * outsize, int *retval ) | ^ pack_utils.c:222:1: note: type ‘int’ should match type ‘void’ pack_utils.c:222:1: note: ‘retrieve_pieces_of_field_c_’ was previously declared here pack_utils.c:222:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_quilt_outbuf_ops.fppized.f90:1054:70: warning: type of ‘store_piece_of_field_c’ does not match original declaration [-Wlto-type-mismatch] 1054 | CALL store_piece_of_field_c ( inbuf, VarNameAsInts, Nbytes, ierr ) | ^ pack_utils.c:181:1: note: return value type mismatch 181 | STORE_PIECE_OF_FIELD_C ( char * buf , int varname[], int * chunksize, int *retval ) | ^ pack_utils.c:181:1: note: type ‘int’ should match type ‘void’ pack_utils.c:181:1: note: ‘store_piece_of_field_c_’ was previously declared here pack_utils.c:181:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used output_wrf.fppized.f90:1439:61: warning: type of ‘get_nio_tasks_in_group’ does not match original declaration [-Wlto-type-mismatch] 1439 | CALL get_nio_tasks_in_group ( nio_tasks_per_group ) | ^ module_io_quilt.fppized.f90:985:33: note: ‘get_nio_tasks_in_group’ was previously declared here 985 | SUBROUTINE get_nio_tasks_in_group( retval ) | ^ module_io.fppized.f90:16973:70: warning: type of ‘wrf_quilt_open_for_write_begin’ does not match original declaration [-Wlto-type-mismatch] 16973 | Hndl , io_form, Status ) | ^ module_io_quilt.fppized.f90:185:41: note: type mismatch in parameter 8 185 | SUBROUTINE wrf_quilt_open_for_write_begin( FileName , Comm_compute, Comm_io, SysDepInfo, & | ^ module_io_quilt.fppized.f90:185:41: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:185:41: note: ‘wrf_quilt_open_for_write_begin’ was previously declared here module_io.fppized.f90:19331:56: warning: type of ‘perturb_real’ does not match original declaration [-Wlto-type-mismatch] 19331 | PatchStart, PatchEnd ) | ^ pack_utils.c:255:1: note: return value type mismatch 255 | PERTURB_REAL ( float * field, int ds[], int de[], int ms[], int me[], int ps[], int pe[] ) | ^ pack_utils.c:255:1: note: type ‘int’ should match type ‘void’ pack_utils.c:255:1: note: ‘perturb_real_’ was previously declared here pack_utils.c:255:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:19963:43: warning: type of ‘wrf_quilt_write_field’ does not match original declaration [-Wlto-type-mismatch] 19963 | Status ) | ^ module_io_quilt.fppized.f90:910:32: note: type mismatch in parameter 19 910 | SUBROUTINE wrf_quilt_write_field ( DataHandle , DateStr , VarName , Field , FieldType , Comm , IOComm, & | ^ module_io_quilt.fppized.f90:910:32: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:910:32: note: ‘wrf_quilt_write_field’ was previously declared here module_io.fppized.f90:713:54: warning: type of ‘wrf_quilt_get_dom_ti_real’ does not match original declaration [-Wlto-type-mismatch] 713 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:319:36: note: type mismatch in parameter 7 319 | SUBROUTINE wrf_quilt_get_dom_ti_real ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:319:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:488:54: warning: type of ‘wrf_quilt_get_dom_ti_real’ does not match original declaration [-Wlto-type-mismatch] 488 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:319:36: note: type mismatch in parameter 7 319 | SUBROUTINE wrf_quilt_get_dom_ti_real ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:319:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:319:36: note: ‘wrf_quilt_get_dom_ti_real’ was previously declared here module_io.fppized.f90:1165:45: warning: type of ‘wrf_quilt_put_dom_ti_real’ does not match original declaration [-Wlto-type-mismatch] 1165 | locCount, Status ) | ^ module_io_quilt.fppized.f90:334:36: note: type mismatch in parameter 6 334 | SUBROUTINE wrf_quilt_put_dom_ti_real ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:334:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:940:45: warning: type of ‘wrf_quilt_put_dom_ti_real’ does not match original declaration [-Wlto-type-mismatch] 940 | locCount, Status ) | ^ module_io_quilt.fppized.f90:334:36: note: type mismatch in parameter 6 334 | SUBROUTINE wrf_quilt_put_dom_ti_real ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:334:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:334:36: note: ‘wrf_quilt_put_dom_ti_real’ was previously declared here module_io.fppized.f90:1617:54: warning: type of ‘wrf_quilt_get_dom_ti_double’ does not match original declaration [-Wlto-type-mismatch] 1617 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:346:38: note: type mismatch in parameter 7 346 | SUBROUTINE wrf_quilt_get_dom_ti_double ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:346:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:1392:54: warning: type of ‘wrf_quilt_get_dom_ti_double’ does not match original declaration [-Wlto-type-mismatch] 1392 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:346:38: note: type mismatch in parameter 7 346 | SUBROUTINE wrf_quilt_get_dom_ti_double ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:346:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:346:38: note: ‘wrf_quilt_get_dom_ti_double’ was previously declared here module_io.fppized.f90:2069:45: warning: type of ‘wrf_quilt_put_dom_ti_double’ does not match original declaration [-Wlto-type-mismatch] 2069 | locCount, Status ) | ^ module_io_quilt.fppized.f90:361:38: note: type mismatch in parameter 6 361 | SUBROUTINE wrf_quilt_put_dom_ti_double ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:361:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:1844:45: warning: type of ‘wrf_quilt_put_dom_ti_double’ does not match original declaration [-Wlto-type-mismatch] 1844 | locCount, Status ) | ^ module_io_quilt.fppized.f90:361:38: note: type mismatch in parameter 6 361 | SUBROUTINE wrf_quilt_put_dom_ti_double ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:361:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:361:38: note: ‘wrf_quilt_put_dom_ti_double’ was previously declared here module_io.fppized.f90:2521:54: warning: type of ‘wrf_quilt_get_dom_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 2521 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:375:39: note: type mismatch in parameter 7 375 | SUBROUTINE wrf_quilt_get_dom_ti_integer ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:375:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:2296:54: warning: type of ‘wrf_quilt_get_dom_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 2296 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:375:39: note: type mismatch in parameter 7 375 | SUBROUTINE wrf_quilt_get_dom_ti_integer ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:375:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:375:39: note: ‘wrf_quilt_get_dom_ti_integer’ was previously declared here module_io.fppized.f90:2973:45: warning: type of ‘wrf_quilt_put_dom_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 2973 | locCount, Status ) | ^ module_io_quilt.fppized.f90:390:39: note: type mismatch in parameter 6 390 | SUBROUTINE wrf_quilt_put_dom_ti_integer ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:390:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:2748:45: warning: type of ‘wrf_quilt_put_dom_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 2748 | locCount, Status ) | ^ module_io_quilt.fppized.f90:390:39: note: type mismatch in parameter 6 390 | SUBROUTINE wrf_quilt_put_dom_ti_integer ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:390:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:390:39: note: ‘wrf_quilt_put_dom_ti_integer’ was previously declared here module_io.fppized.f90:3425:54: warning: type of ‘wrf_quilt_get_dom_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 3425 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:402:39: note: type mismatch in parameter 7 402 | SUBROUTINE wrf_quilt_get_dom_ti_logical ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:402:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:3200:54: warning: type of ‘wrf_quilt_get_dom_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 3200 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:402:39: note: type mismatch in parameter 7 402 | SUBROUTINE wrf_quilt_get_dom_ti_logical ( DataHandle,Element, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:402:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:402:39: note: ‘wrf_quilt_get_dom_ti_logical’ was previously declared here module_io.fppized.f90:3877:45: warning: type of ‘wrf_quilt_put_dom_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 3877 | locCount, Status ) | ^ module_io_quilt.fppized.f90:417:39: note: type mismatch in parameter 6 417 | SUBROUTINE wrf_quilt_put_dom_ti_logical ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:417:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:3652:45: warning: type of ‘wrf_quilt_put_dom_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 3652 | locCount, Status ) | ^ module_io_quilt.fppized.f90:417:39: note: type mismatch in parameter 6 417 | SUBROUTINE wrf_quilt_put_dom_ti_logical ( DataHandle,Element, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:417:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:417:39: note: ‘wrf_quilt_put_dom_ti_logical’ was previously declared here module_io.fppized.f90:4104:35: warning: type of ‘wrf_quilt_get_dom_ti_char’ does not match original declaration [-Wlto-type-mismatch] 4104 | Status ) | ^ module_io_quilt.fppized.f90:431:36: note: type mismatch in parameter 5 431 | SUBROUTINE wrf_quilt_get_dom_ti_char ( DataHandle,Element, Data, Status ) | ^ module_io_quilt.fppized.f90:431:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:431:36: note: ‘wrf_quilt_get_dom_ti_char’ was previously declared here module_io.fppized.f90:4331:35: warning: type of ‘wrf_quilt_put_dom_ti_char’ does not match original declaration [-Wlto-type-mismatch] 4331 | Status ) | ^ module_io_quilt.fppized.f90:445:36: note: type mismatch in parameter 5 445 | SUBROUTINE wrf_quilt_put_dom_ti_char ( DataHandle, Element, Data, Status ) | ^ module_io_quilt.fppized.f90:445:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:445:36: note: ‘wrf_quilt_put_dom_ti_char’ was previously declared here module_io.fppized.f90:4784:54: warning: type of ‘wrf_quilt_get_dom_td_real’ does not match original declaration [-Wlto-type-mismatch] 4784 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:457:36: note: type mismatch in parameter 8 457 | SUBROUTINE wrf_quilt_get_dom_td_real ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:457:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:4559:54: warning: type of ‘wrf_quilt_get_dom_td_real’ does not match original declaration [-Wlto-type-mismatch] 4559 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:457:36: note: type mismatch in parameter 8 457 | SUBROUTINE wrf_quilt_get_dom_td_real ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:457:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:457:36: note: ‘wrf_quilt_get_dom_td_real’ was previously declared here module_io.fppized.f90:5236:45: warning: type of ‘wrf_quilt_put_dom_td_real’ does not match original declaration [-Wlto-type-mismatch] 5236 | locCount, Status ) | ^ module_io_quilt.fppized.f90:472:36: note: type mismatch in parameter 7 472 | SUBROUTINE wrf_quilt_put_dom_td_real ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:472:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:5011:45: warning: type of ‘wrf_quilt_put_dom_td_real’ does not match original declaration [-Wlto-type-mismatch] 5011 | locCount, Status ) | ^ module_io_quilt.fppized.f90:472:36: note: type mismatch in parameter 7 472 | SUBROUTINE wrf_quilt_put_dom_td_real ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:472:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:472:36: note: ‘wrf_quilt_put_dom_td_real’ was previously declared here module_io.fppized.f90:5688:54: warning: type of ‘wrf_quilt_get_dom_td_double’ does not match original declaration [-Wlto-type-mismatch] 5688 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:486:38: note: type mismatch in parameter 8 486 | SUBROUTINE wrf_quilt_get_dom_td_double ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:486:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:5463:54: warning: type of ‘wrf_quilt_get_dom_td_double’ does not match original declaration [-Wlto-type-mismatch] 5463 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:486:38: note: type mismatch in parameter 8 486 | SUBROUTINE wrf_quilt_get_dom_td_double ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:486:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:486:38: note: ‘wrf_quilt_get_dom_td_double’ was previously declared here module_io_quilt.fppized.f90:486:38: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:6140:45: warning: type of ‘wrf_quilt_put_dom_td_double’ does not match original declaration [-Wlto-type-mismatch] 6140 | locCount, Status ) | ^ module_io_quilt.fppized.f90:502:38: note: type mismatch in parameter 7 502 | SUBROUTINE wrf_quilt_put_dom_td_double ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:502:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:5915:45: warning: type of ‘wrf_quilt_put_dom_td_double’ does not match original declaration [-Wlto-type-mismatch] 5915 | locCount, Status ) | ^ module_io_quilt.fppized.f90:502:38: note: type mismatch in parameter 7 502 | SUBROUTINE wrf_quilt_put_dom_td_double ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:502:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:502:38: note: ‘wrf_quilt_put_dom_td_double’ was previously declared here module_io_quilt.fppized.f90:502:38: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:6592:54: warning: type of ‘wrf_quilt_get_dom_td_integer’ does not match original declaration [-Wlto-type-mismatch] 6592 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:517:39: note: type mismatch in parameter 8 517 | SUBROUTINE wrf_quilt_get_dom_td_integer ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:517:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:6367:54: warning: type of ‘wrf_quilt_get_dom_td_integer’ does not match original declaration [-Wlto-type-mismatch] 6367 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:517:39: note: type mismatch in parameter 8 517 | SUBROUTINE wrf_quilt_get_dom_td_integer ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:517:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:517:39: note: ‘wrf_quilt_get_dom_td_integer’ was previously declared here module_io.fppized.f90:7044:45: warning: type of ‘wrf_quilt_put_dom_td_integer’ does not match original declaration [-Wlto-type-mismatch] 7044 | locCount, Status ) | ^ module_io_quilt.fppized.f90:532:39: note: type mismatch in parameter 7 532 | SUBROUTINE wrf_quilt_put_dom_td_integer ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:532:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:6819:45: warning: type of ‘wrf_quilt_put_dom_td_integer’ does not match original declaration [-Wlto-type-mismatch] 6819 | locCount, Status ) | ^ module_io_quilt.fppized.f90:532:39: note: type mismatch in parameter 7 532 | SUBROUTINE wrf_quilt_put_dom_td_integer ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:532:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:532:39: note: ‘wrf_quilt_put_dom_td_integer’ was previously declared here module_io.fppized.f90:7496:54: warning: type of ‘wrf_quilt_get_dom_td_logical’ does not match original declaration [-Wlto-type-mismatch] 7496 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:546:39: note: type mismatch in parameter 8 546 | SUBROUTINE wrf_quilt_get_dom_td_logical ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:546:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:7271:54: warning: type of ‘wrf_quilt_get_dom_td_logical’ does not match original declaration [-Wlto-type-mismatch] 7271 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:546:39: note: type mismatch in parameter 8 546 | SUBROUTINE wrf_quilt_get_dom_td_logical ( DataHandle,Element, DateStr, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:546:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:546:39: note: ‘wrf_quilt_get_dom_td_logical’ was previously declared here module_io.fppized.f90:7948:45: warning: type of ‘wrf_quilt_put_dom_td_logical’ does not match original declaration [-Wlto-type-mismatch] 7948 | locCount, Status ) | ^ module_io_quilt.fppized.f90:561:39: note: type mismatch in parameter 7 561 | SUBROUTINE wrf_quilt_put_dom_td_logical ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:561:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:7723:45: warning: type of ‘wrf_quilt_put_dom_td_logical’ does not match original declaration [-Wlto-type-mismatch] 7723 | locCount, Status ) | ^ module_io_quilt.fppized.f90:561:39: note: type mismatch in parameter 7 561 | SUBROUTINE wrf_quilt_put_dom_td_logical ( DataHandle,Element, DateStr, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:561:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:561:39: note: ‘wrf_quilt_put_dom_td_logical’ was previously declared here module_io.fppized.f90:8175:35: warning: type of ‘wrf_quilt_get_dom_td_char’ does not match original declaration [-Wlto-type-mismatch] 8175 | Status ) | ^ module_io_quilt.fppized.f90:575:36: note: type mismatch in parameter 6 575 | SUBROUTINE wrf_quilt_get_dom_td_char ( DataHandle,Element, DateStr, Data, Status ) | ^ module_io_quilt.fppized.f90:575:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:575:36: note: ‘wrf_quilt_get_dom_td_char’ was previously declared here module_io.fppized.f90:8402:35: warning: type of ‘wrf_quilt_put_dom_td_char’ does not match original declaration [-Wlto-type-mismatch] 8402 | Status ) | ^ module_io_quilt.fppized.f90:589:36: note: type mismatch in parameter 6 589 | SUBROUTINE wrf_quilt_put_dom_td_char ( DataHandle,Element, DateStr, Data, Status ) | ^ module_io_quilt.fppized.f90:589:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:589:36: note: ‘wrf_quilt_put_dom_td_char’ was previously declared here module_io.fppized.f90:8855:54: warning: type of ‘wrf_quilt_get_var_ti_real’ does not match original declaration [-Wlto-type-mismatch] 8855 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:603:36: note: type mismatch in parameter 8 603 | SUBROUTINE wrf_quilt_get_var_ti_real ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:603:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:8630:54: warning: type of ‘wrf_quilt_get_var_ti_real’ does not match original declaration [-Wlto-type-mismatch] 8630 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:603:36: note: type mismatch in parameter 8 603 | SUBROUTINE wrf_quilt_get_var_ti_real ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:603:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:603:36: note: ‘wrf_quilt_get_var_ti_real’ was previously declared here module_io.fppized.f90:9307:45: warning: type of ‘wrf_quilt_put_var_ti_real’ does not match original declaration [-Wlto-type-mismatch] 9307 | locCount, Status ) | ^ module_io_quilt.fppized.f90:618:36: note: type mismatch in parameter 7 618 | SUBROUTINE wrf_quilt_put_var_ti_real ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:618:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:9082:45: warning: type of ‘wrf_quilt_put_var_ti_real’ does not match original declaration [-Wlto-type-mismatch] 9082 | locCount, Status ) | ^ module_io_quilt.fppized.f90:618:36: note: type mismatch in parameter 7 618 | SUBROUTINE wrf_quilt_put_var_ti_real ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:618:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:618:36: note: ‘wrf_quilt_put_var_ti_real’ was previously declared here module_io.fppized.f90:9759:54: warning: type of ‘wrf_quilt_get_var_ti_double’ does not match original declaration [-Wlto-type-mismatch] 9759 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:632:38: note: type mismatch in parameter 8 632 | SUBROUTINE wrf_quilt_get_var_ti_double ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:632:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:9534:54: warning: type of ‘wrf_quilt_get_var_ti_double’ does not match original declaration [-Wlto-type-mismatch] 9534 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:632:38: note: type mismatch in parameter 8 632 | SUBROUTINE wrf_quilt_get_var_ti_double ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:632:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:632:38: note: ‘wrf_quilt_get_var_ti_double’ was previously declared here module_io_quilt.fppized.f90:632:38: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:10211:45: warning: type of ‘wrf_quilt_put_var_ti_double’ does not match original declaration [-Wlto-type-mismatch] 10211 | locCount, Status ) | ^ module_io_quilt.fppized.f90:648:38: note: type mismatch in parameter 7 648 | SUBROUTINE wrf_quilt_put_var_ti_double ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:648:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:9986:45: warning: type of ‘wrf_quilt_put_var_ti_double’ does not match original declaration [-Wlto-type-mismatch] 9986 | locCount, Status ) | ^ module_io_quilt.fppized.f90:648:38: note: type mismatch in parameter 7 648 | SUBROUTINE wrf_quilt_put_var_ti_double ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:648:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:648:38: note: ‘wrf_quilt_put_var_ti_double’ was previously declared here module_io_quilt.fppized.f90:648:38: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:10663:54: warning: type of ‘wrf_quilt_get_var_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 10663 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:663:39: note: type mismatch in parameter 8 663 | SUBROUTINE wrf_quilt_get_var_ti_integer ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:663:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:10438:54: warning: type of ‘wrf_quilt_get_var_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 10438 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:663:39: note: type mismatch in parameter 8 663 | SUBROUTINE wrf_quilt_get_var_ti_integer ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:663:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:663:39: note: ‘wrf_quilt_get_var_ti_integer’ was previously declared here module_io.fppized.f90:11115:45: warning: type of ‘wrf_quilt_put_var_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 11115 | locCount, Status ) | ^ module_io_quilt.fppized.f90:678:39: note: type mismatch in parameter 7 678 | SUBROUTINE wrf_quilt_put_var_ti_integer ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:678:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:10890:45: warning: type of ‘wrf_quilt_put_var_ti_integer’ does not match original declaration [-Wlto-type-mismatch] 10890 | locCount, Status ) | ^ module_io_quilt.fppized.f90:678:39: note: type mismatch in parameter 7 678 | SUBROUTINE wrf_quilt_put_var_ti_integer ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:678:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:678:39: note: ‘wrf_quilt_put_var_ti_integer’ was previously declared here module_io.fppized.f90:11567:54: warning: type of ‘wrf_quilt_get_var_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 11567 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:692:39: note: type mismatch in parameter 8 692 | SUBROUTINE wrf_quilt_get_var_ti_logical ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:692:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:11342:54: warning: type of ‘wrf_quilt_get_var_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 11342 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:692:39: note: type mismatch in parameter 8 692 | SUBROUTINE wrf_quilt_get_var_ti_logical ( DataHandle,Element, Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:692:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:692:39: note: ‘wrf_quilt_get_var_ti_logical’ was previously declared here module_io.fppized.f90:12019:45: warning: type of ‘wrf_quilt_put_var_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 12019 | locCount, Status ) | ^ module_io_quilt.fppized.f90:707:39: note: type mismatch in parameter 7 707 | SUBROUTINE wrf_quilt_put_var_ti_logical ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:707:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:11794:45: warning: type of ‘wrf_quilt_put_var_ti_logical’ does not match original declaration [-Wlto-type-mismatch] 11794 | locCount, Status ) | ^ module_io_quilt.fppized.f90:707:39: note: type mismatch in parameter 7 707 | SUBROUTINE wrf_quilt_put_var_ti_logical ( DataHandle,Element, Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:707:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:707:39: note: ‘wrf_quilt_put_var_ti_logical’ was previously declared here module_io.fppized.f90:12246:35: warning: type of ‘wrf_quilt_get_var_ti_char’ does not match original declaration [-Wlto-type-mismatch] 12246 | Status ) | ^ module_io_quilt.fppized.f90:721:36: note: type mismatch in parameter 6 721 | SUBROUTINE wrf_quilt_get_var_ti_char ( DataHandle,Element, Varname, Data, Status ) | ^ module_io_quilt.fppized.f90:721:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:721:36: note: ‘wrf_quilt_get_var_ti_char’ was previously declared here module_io.fppized.f90:12473:35: warning: type of ‘wrf_quilt_put_var_ti_char’ does not match original declaration [-Wlto-type-mismatch] 12473 | Status ) | ^ module_io_quilt.fppized.f90:735:36: note: type mismatch in parameter 6 735 | SUBROUTINE wrf_quilt_put_var_ti_char ( DataHandle,Element, Varname, Data, Status ) | ^ module_io_quilt.fppized.f90:735:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:735:36: note: ‘wrf_quilt_put_var_ti_char’ was previously declared here module_io.fppized.f90:12926:54: warning: type of ‘wrf_quilt_get_var_td_real’ does not match original declaration [-Wlto-type-mismatch] 12926 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:748:36: note: type mismatch in parameter 9 748 | SUBROUTINE wrf_quilt_get_var_td_real ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:748:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:12701:54: warning: type of ‘wrf_quilt_get_var_td_real’ does not match original declaration [-Wlto-type-mismatch] 12701 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:748:36: note: type mismatch in parameter 9 748 | SUBROUTINE wrf_quilt_get_var_td_real ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:748:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:748:36: note: ‘wrf_quilt_get_var_td_real’ was previously declared here module_io.fppized.f90:13378:45: warning: type of ‘wrf_quilt_put_var_td_real’ does not match original declaration [-Wlto-type-mismatch] 13378 | locCount, Status ) | ^ module_io_quilt.fppized.f90:763:36: note: type mismatch in parameter 8 763 | SUBROUTINE wrf_quilt_put_var_td_real ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:763:36: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:13153:45: warning: type of ‘wrf_quilt_put_var_td_real’ does not match original declaration [-Wlto-type-mismatch] 13153 | locCount, Status ) | ^ module_io_quilt.fppized.f90:763:36: note: type mismatch in parameter 8 763 | SUBROUTINE wrf_quilt_put_var_td_real ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:763:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:763:36: note: ‘wrf_quilt_put_var_td_real’ was previously declared here module_io.fppized.f90:13830:54: warning: type of ‘wrf_quilt_get_var_td_double’ does not match original declaration [-Wlto-type-mismatch] 13830 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:777:38: note: type mismatch in parameter 9 777 | SUBROUTINE wrf_quilt_get_var_td_double ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:777:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:13605:54: warning: type of ‘wrf_quilt_get_var_td_double’ does not match original declaration [-Wlto-type-mismatch] 13605 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:777:38: note: type mismatch in parameter 9 777 | SUBROUTINE wrf_quilt_get_var_td_double ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:777:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:777:38: note: ‘wrf_quilt_get_var_td_double’ was previously declared here module_io_quilt.fppized.f90:777:38: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:14282:45: warning: type of ‘wrf_quilt_put_var_td_double’ does not match original declaration [-Wlto-type-mismatch] 14282 | locCount, Status ) | ^ module_io_quilt.fppized.f90:793:38: note: type mismatch in parameter 8 793 | SUBROUTINE wrf_quilt_put_var_td_double ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:793:38: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:14057:45: warning: type of ‘wrf_quilt_put_var_td_double’ does not match original declaration [-Wlto-type-mismatch] 14057 | locCount, Status ) | ^ module_io_quilt.fppized.f90:793:38: note: type mismatch in parameter 8 793 | SUBROUTINE wrf_quilt_put_var_td_double ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:793:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:793:38: note: ‘wrf_quilt_put_var_td_double’ was previously declared here module_io_quilt.fppized.f90:793:38: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:14734:54: warning: type of ‘wrf_quilt_get_var_td_integer’ does not match original declaration [-Wlto-type-mismatch] 14734 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:808:39: note: type mismatch in parameter 9 808 | SUBROUTINE wrf_quilt_get_var_td_integer ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount,Status) | ^ module_io_quilt.fppized.f90:808:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:14509:54: warning: type of ‘wrf_quilt_get_var_td_integer’ does not match original declaration [-Wlto-type-mismatch] 14509 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:808:39: note: type mismatch in parameter 9 808 | SUBROUTINE wrf_quilt_get_var_td_integer ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount,Status) | ^ module_io_quilt.fppized.f90:808:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:808:39: note: ‘wrf_quilt_get_var_td_integer’ was previously declared here module_io.fppized.f90:15186:45: warning: type of ‘wrf_quilt_put_var_td_integer’ does not match original declaration [-Wlto-type-mismatch] 15186 | locCount, Status ) | ^ module_io_quilt.fppized.f90:823:39: note: type mismatch in parameter 8 823 | SUBROUTINE wrf_quilt_put_var_td_integer ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:823:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:14961:45: warning: type of ‘wrf_quilt_put_var_td_integer’ does not match original declaration [-Wlto-type-mismatch] 14961 | locCount, Status ) | ^ module_io_quilt.fppized.f90:823:39: note: type mismatch in parameter 8 823 | SUBROUTINE wrf_quilt_put_var_td_integer ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:823:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:823:39: note: ‘wrf_quilt_put_var_td_integer’ was previously declared here module_io.fppized.f90:15638:54: warning: type of ‘wrf_quilt_get_var_td_logical’ does not match original declaration [-Wlto-type-mismatch] 15638 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:837:39: note: type mismatch in parameter 9 837 | SUBROUTINE wrf_quilt_get_var_td_logical ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:837:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:15413:54: warning: type of ‘wrf_quilt_get_var_td_logical’ does not match original declaration [-Wlto-type-mismatch] 15413 | locCount, Outcount, Status ) | ^ module_io_quilt.fppized.f90:837:39: note: type mismatch in parameter 9 837 | SUBROUTINE wrf_quilt_get_var_td_logical ( DataHandle,Element, DateStr,Varname, Data, Count, Outcount, Status ) | ^ module_io_quilt.fppized.f90:837:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:837:39: note: ‘wrf_quilt_get_var_td_logical’ was previously declared here module_io.fppized.f90:16090:45: warning: type of ‘wrf_quilt_put_var_td_logical’ does not match original declaration [-Wlto-type-mismatch] 16090 | locCount, Status ) | ^ module_io_quilt.fppized.f90:852:39: note: type mismatch in parameter 8 852 | SUBROUTINE wrf_quilt_put_var_td_logical ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:852:39: note: type ‘void’ should match type ‘long int’ module_io.fppized.f90:15865:45: warning: type of ‘wrf_quilt_put_var_td_logical’ does not match original declaration [-Wlto-type-mismatch] 15865 | locCount, Status ) | ^ module_io_quilt.fppized.f90:852:39: note: type mismatch in parameter 8 852 | SUBROUTINE wrf_quilt_put_var_td_logical ( DataHandle,Element, DateStr,Varname, Data, Count, Status ) | ^ module_io_quilt.fppized.f90:852:39: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:852:39: note: ‘wrf_quilt_put_var_td_logical’ was previously declared here module_io.fppized.f90:16317:35: warning: type of ‘wrf_quilt_get_var_td_char’ does not match original declaration [-Wlto-type-mismatch] 16317 | Status ) | ^ module_io_quilt.fppized.f90:866:36: note: type mismatch in parameter 7 866 | SUBROUTINE wrf_quilt_get_var_td_char ( DataHandle,Element, DateStr,Varname, Data, Status ) | ^ module_io_quilt.fppized.f90:866:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:866:36: note: ‘wrf_quilt_get_var_td_char’ was previously declared here module_io.fppized.f90:16544:35: warning: type of ‘wrf_quilt_put_var_td_char’ does not match original declaration [-Wlto-type-mismatch] 16544 | Status ) | ^ module_io_quilt.fppized.f90:880:36: note: type mismatch in parameter 7 880 | SUBROUTINE wrf_quilt_put_var_td_char ( DataHandle,Element, DateStr,Varname, Data, Status ) | ^ module_io_quilt.fppized.f90:880:36: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:880:36: note: ‘wrf_quilt_put_var_td_char’ was previously declared here module_io.fppized.f90:16858:63: warning: type of ‘wrf_quilt_ioexit’ does not match original declaration [-Wlto-type-mismatch] 16858 | IF ( use_output_servers() ) CALL wrf_quilt_ioexit( ierr(11) ) | ^ module_io_quilt.fppized.f90:273:27: note: ‘wrf_quilt_ioexit’ was previously declared here 273 | SUBROUTINE wrf_quilt_ioexit( Status ) | ^ module_io.fppized.f90:17036:60: warning: type of ‘wrf_quilt_open_for_write_commit’ does not match original declaration [-Wlto-type-mismatch] 17036 | CALL wrf_quilt_open_for_write_commit ( Hndl , Status ) | ^ module_io_quilt.fppized.f90:195:42: note: ‘wrf_quilt_open_for_write_commit’ was previously declared here 195 | SUBROUTINE wrf_quilt_open_for_write_commit( DataHandle , Status ) | ^ module_io.fppized.f90:17443:75: warning: type of ‘wrf_quilt_inquire_opened’ does not match original declaration [-Wlto-type-mismatch] 17443 | CALL wrf_quilt_inquire_opened ( Hndl, FileName , FileStatus, Status ) | ^ module_io_quilt.fppized.f90:216:35: note: type mismatch in parameter 5 216 | SUBROUTINE wrf_quilt_inquire_opened ( DataHandle, FileName , FileStatus, Status ) | ^ module_io_quilt.fppized.f90:216:35: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:216:35: note: ‘wrf_quilt_inquire_opened’ was previously declared here module_io.fppized.f90:17630:77: warning: type of ‘wrf_quilt_inquire_filename’ does not match original declaration [-Wlto-type-mismatch] 17630 | CALL wrf_quilt_inquire_filename ( Hndl, FileName , FileStatus, Status ) | ^ module_io_quilt.fppized.f90:225:37: note: type mismatch in parameter 5 225 | SUBROUTINE wrf_quilt_inquire_filename ( DataHandle, FileName , FileStatus, Status ) | ^ module_io_quilt.fppized.f90:225:37: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:225:37: note: ‘wrf_quilt_inquire_filename’ was previously declared here module_io.fppized.f90:17807:43: warning: type of ‘wrf_quilt_iosync’ does not match original declaration [-Wlto-type-mismatch] 17807 | CALL wrf_quilt_iosync( Hndl, Status ) | ^ module_io_quilt.fppized.f90:239:27: note: ‘wrf_quilt_iosync’ was previously declared here 239 | SUBROUTINE wrf_quilt_iosync ( DataHandle, Status ) | ^ module_io.fppized.f90:17985:44: warning: type of ‘wrf_quilt_ioclose’ does not match original declaration [-Wlto-type-mismatch] 17985 | CALL wrf_quilt_ioclose( Hndl, Status ) | ^ module_io_quilt.fppized.f90:262:28: note: ‘wrf_quilt_ioclose’ was previously declared here 262 | SUBROUTINE wrf_quilt_ioclose ( DataHandle, Status ) | ^ module_io.fppized.f90:18172:59: warning: type of ‘wrf_quilt_get_next_time’ does not match original declaration [-Wlto-type-mismatch] 18172 | CALL wrf_quilt_get_next_time( Hndl, DateStr, Status ) | ^ module_io_quilt.fppized.f90:282:34: note: type mismatch in parameter 4 282 | SUBROUTINE wrf_quilt_get_next_time ( DataHandle, DateStr, Status ) | ^ module_io_quilt.fppized.f90:282:34: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:282:34: note: ‘wrf_quilt_get_next_time’ was previously declared here module_io.fppized.f90:18352:63: warning: type of ‘wrf_quilt_get_previous_time’ does not match original declaration [-Wlto-type-mismatch] 18352 | CALL wrf_quilt_get_previous_time( Hndl, DateStr, Status ) | ^ module_io_quilt.fppized.f90:291:38: note: type mismatch in parameter 4 291 | SUBROUTINE wrf_quilt_get_previous_time ( DataHandle, DateStr, Status ) | ^ module_io_quilt.fppized.f90:291:38: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:291:38: note: ‘wrf_quilt_get_previous_time’ was previously declared here module_io.fppized.f90:18531:54: warning: type of ‘wrf_quilt_set_time’ does not match original declaration [-Wlto-type-mismatch] 18531 | CALL wrf_quilt_set_time( Hndl, DateStr, Status ) | ^ module_io_quilt.fppized.f90:300:29: note: type mismatch in parameter 4 300 | SUBROUTINE wrf_quilt_set_time ( DataHandle, Data, Status ) | ^ module_io_quilt.fppized.f90:300:29: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:300:29: note: ‘wrf_quilt_set_time’ was previously declared here module_io.fppized.f90:18711:58: warning: type of ‘wrf_quilt_get_next_var’ does not match original declaration [-Wlto-type-mismatch] 18711 | CALL wrf_quilt_get_next_var( Hndl, VarName, Status ) | ^ module_io_quilt.fppized.f90:309:33: note: type mismatch in parameter 4 309 | SUBROUTINE wrf_quilt_get_next_var ( DataHandle, VarName, Status ) | ^ module_io_quilt.fppized.f90:309:33: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:309:33: note: ‘wrf_quilt_get_next_var’ was previously declared here module_io.fppized.f90:18890:46: warning: type of ‘ext_ncd_get_var_info’ does not match original declaration [-Wlto-type-mismatch] 18890 | Status ) | ^ wrf_io.fppized.f90:8019:31: note: type mismatch in parameter 9 8019 | subroutine ext_ncd_get_var_info(DataHandle,Name,NDim,MemoryOrder,Stagger,DomainStart,DomainEnd,WrfType,Status) | ^ wrf_io.fppized.f90:8019:31: note: ‘ext_ncd_get_var_info’ was previously declared here wrf_io.fppized.f90:8019:31: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_io.fppized.f90:18898:44: warning: type of ‘wrf_quilt_get_var_info’ does not match original declaration [-Wlto-type-mismatch] 18898 | Status ) | ^ module_io_quilt.fppized.f90:937:33: note: type mismatch in parameter 9 937 | SUBROUTINE wrf_quilt_get_var_info ( DataHandle , VarName , NDim , MemoryOrder , Stagger , & | ^ module_io_quilt.fppized.f90:937:33: note: type ‘void’ should match type ‘long int’ module_io_quilt.fppized.f90:937:33: note: ‘wrf_quilt_get_var_info’ was previously declared here wrf_ext_write_field.fppized.f90:175:31: warning: type of ‘wrf_write_field’ does not match original declaration [-Wlto-type-mismatch] 175 | ,Status ) | ^ module_io.fppized.f90:19549:26: note: ‘wrf_write_field’ was previously declared here 19549 | SUBROUTINE wrf_write_field ( DataHandle , DateStr , VarName , Field , FieldType , & | ^ module_io.fppized.f90:19549:26: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_ext_read_field.fppized.f90:144:31: warning: type of ‘wrf_read_field’ does not match original declaration [-Wlto-type-mismatch] 144 | ,Status ) | ^ module_io.fppized.f90:19127:25: note: ‘wrf_read_field’ was previously declared here 19127 | SUBROUTINE wrf_read_field ( DataHandle , DateStr , VarName , Field , FieldType , & | ^ module_io.fppized.f90:19127:25: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_quilt_outbuf_ops.fppized.f90:159:41: warning: type of ‘ext_ncd_write_field’ does not match original declaration [-Wlto-type-mismatch] 159 | Status ) | ^ wrf_io.fppized.f90:7204:30: note: ‘ext_ncd_write_field’ was previously declared here 7204 | subroutine ext_ncd_write_field(DataHandle,DateStr,Var,Field,FieldTypeIn, & | ^ wrf_io.fppized.f90:7204:30: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_internal_header_util.fppized.f90:1654:58: warning: type of ‘int_gen_ti_header_c’ does not match original declaration [-Wlto-type-mismatch] 1654 | DataHandle, Data, Count, code ) | ^ pack_utils.c:78:1: note: return value type mismatch 78 | INT_GEN_TI_HEADER_C ( char * hdrbuf, int * hdrbufsize, /* hdrbufsize is in bytes */ | ^ pack_utils.c:78:1: note: type ‘int’ should match type ‘void’ module_internal_header_util.fppized.f90:1592:58: warning: type of ‘int_gen_ti_header_c’ does not match original declaration [-Wlto-type-mismatch] 1592 | DataHandle, Data, Count, code ) | ^ pack_utils.c:78:1: note: return value type mismatch 78 | INT_GEN_TI_HEADER_C ( char * hdrbuf, int * hdrbufsize, /* hdrbufsize is in bytes */ | ^ pack_utils.c:78:1: note: type ‘int’ should match type ‘void’ module_internal_header_util.fppized.f90:1440:68: warning: type of ‘int_gen_ti_header_c’ does not match original declaration [-Wlto-type-mismatch] 1440 | DataHandle, DummyData, DummyCount, code ) | ^ pack_utils.c:78:1: note: return value type mismatch 78 | INT_GEN_TI_HEADER_C ( char * hdrbuf, int * hdrbufsize, /* hdrbufsize is in bytes */ | ^ pack_utils.c:78:1: note: type ‘int’ should match type ‘void’ pack_utils.c:78:1: note: ‘int_gen_ti_header_c_’ was previously declared here pack_utils.c:78:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_internal_header_util.fppized.f90:1779:58: warning: type of ‘int_get_ti_header_c’ does not match original declaration [-Wlto-type-mismatch] 1779 | DataHandle, Data, Count, code ) | ^ pack_utils.c:98:1: note: return value type mismatch 98 | INT_GET_TI_HEADER_C ( char * hdrbuf, int * hdrbufsize, int * n, /* hdrbufsize and n are in bytes */ | ^ pack_utils.c:98:1: note: type ‘int’ should match type ‘void’ module_internal_header_util.fppized.f90:1717:58: warning: type of ‘int_get_ti_header_c’ does not match original declaration [-Wlto-type-mismatch] 1717 | DataHandle, Data, Count, code ) | ^ pack_utils.c:98:1: note: return value type mismatch 98 | INT_GET_TI_HEADER_C ( char * hdrbuf, int * hdrbufsize, int * n, /* hdrbufsize and n are in bytes */ | ^ pack_utils.c:98:1: note: type ‘int’ should match type ‘void’ module_internal_header_util.fppized.f90:1503:58: warning: type of ‘int_get_ti_header_c’ does not match original declaration [-Wlto-type-mismatch] 1503 | DataHandle, Data, Count, code ) | ^ pack_utils.c:98:1: note: return value type mismatch 98 | INT_GET_TI_HEADER_C ( char * hdrbuf, int * hdrbufsize, int * n, /* hdrbufsize and n are in bytes */ | ^ pack_utils.c:98:1: note: type ‘int’ should match type ‘void’ pack_utils.c:98:1: note: ‘int_get_ti_header_c_’ was previously declared here pack_utils.c:98:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_fr_fire_util.fppized.f90:1356:27: warning: type of ‘omp_get_thread_num’ does not match original declaration [-Wlto-type-mismatch] 1356 | thread=omp_get_thread_num() | ^ module_fr_fire_phys.fppized.f90:152:6: note: return value type mismatch 152 | if (OMP_GET_THREAD_NUM() .ne. 0)then | ^ module_fr_fire_phys.fppized.f90:152:6: note: type ‘int’ should match type ‘float’ module_fr_fire_phys.fppized.f90:152:6: note: ‘omp_get_thread_num’ was previously declared here module_fr_fire_phys.fppized.f90:152:6: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_domain.fppized.f90:1142:59: warning: type of ‘set_mask’ does not match original declaration [-Wlto-type-mismatch] 1142 | CALL set_mask( p_stream, strmtyp_int + istrm - 1 ) | ^ pack_utils.c:315:1: note: ‘set_mask_’ was previously declared here 315 | SET_MASK ( unsigned int * mask , int *e ) | ^ pack_utils.c:315:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_domain.fppized.f90:1135:65: warning: type of ‘get_mask’ does not match original declaration [-Wlto-type-mismatch] 1135 | CALL get_mask( p_stream, strmtyp_int + istrm - 1, retval ) | ^ pack_utils.c:332:1: note: ‘get_mask_’ was previously declared here 332 | GET_MASK ( unsigned int * mask , int *e , int * retval ) | ^ pack_utils.c:332:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_domain.fppized.f90:1152:60: warning: type of ‘reset_mask’ does not match original declaration [-Wlto-type-mismatch] 1152 | CALL reset_mask( p_stream, strmtyp_int + istrm - 1) | ^ pack_utils.c:301:1: note: ‘reset_mask_’ was previously declared here 301 | RESET_MASK ( unsigned int * mask , int *e ) | ^ pack_utils.c:301:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used track_input.fppized.f90:224:65: warning: type of ‘wrf_dm_bcast_real’ does not match original declaration [-Wlto-type-mismatch] 224 | CALL wrf_dm_bcast_real(grid%track_time_in, grid%track_loc) | ^ module_dm.fppized.f90:1283:28: note: type mismatch in parameter 3 1283 | SUBROUTINE wrf_dm_bcast_real( BUF, N1 ) | ^ module_dm.fppized.f90:1283:28: note: type ‘void’ should match type ‘long int’ module_dm.fppized.f90:1283:28: note: ‘wrf_dm_bcast_real’ was previously declared here module_comm_dm_4.fppized.f90:7464:43: warning: type of ‘rsl_lite_pack_period’ does not match original declaration [-Wlto-type-mismatch] 7464 | ips, ipe, jps, jpe, kps, kpe ) | ^ module_comm_dm_4.fppized.f90:7139:43: warning: type of ‘rsl_lite_pack_period’ does not match original declaration [-Wlto-type-mismatch] 7139 | ips, ipe, jps, jpe, kps, kpe ) | ^ period.c:96:6: note: ‘rsl_lite_pack_period_’ was previously declared here 96 | void RSL_LITE_PACK_PERIOD ( int* Fcomm0, char * buf , int * shw0 , int * typesize0 , int * xy0 , int * pu0 , int * imemord , int * stag0 , | ^ mediation_integrate.fppized.f90:1770:49: warning: type of ‘wrf_dm_bcast_bytes’ does not match original declaration [-Wlto-type-mismatch] 1770 | CALL wrf_dm_bcast_bytes ( lbc_opened , 4 ) | ^ module_io.fppized.f90:18703:46: warning: type of ‘wrf_dm_bcast_bytes’ does not match original declaration [-Wlto-type-mismatch] 18703 | CALL wrf_dm_bcast_bytes( Status, 4 ) | ^ module_ra_HWRF.fppized.f90:8747:67: warning: type of ‘wrf_dm_bcast_bytes’ does not match original declaration [-Wlto-type-mismatch] 8747 | CALL wrf_dm_bcast_bytes ( TRANSA , size ( TRANSA ) * 4 ) | ^ module_wrf_top.fppized.f90:152:47: warning: type of ‘wrf_dm_bcast_bytes’ does not match original declaration [-Wlto-type-mismatch] 152 | CALL wrf_dm_bcast_bytes( configbuf, nbytes ) | ^ module_dm.fppized.f90:1244:29: note: ‘wrf_dm_bcast_bytes’ was previously declared here 1244 | SUBROUTINE wrf_dm_bcast_bytes ( buf , size ) | ^ module_dm.fppized.f90:11148:20: warning: type of ‘interp_fcni’ does not match original declaration [-Wlto-type-mismatch] 11148 | ) | ^ interp_fcn.fppized.f90:1659:25: note: ‘interp_fcni’ was previously declared here 1659 | SUBROUTINE interp_fcni( cfld, & ! CD field | ^ interp_fcn.fppized.f90:1659:25: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_dm.fppized.f90:20086:20: warning: type of ‘copy_fcni’ does not match original declaration [-Wlto-type-mismatch] 20086 | ) | ^ interp_fcn.fppized.f90:897:23: note: ‘copy_fcni’ was previously declared here 897 | SUBROUTINE copy_fcni ( cfld, & ! CD field | ^ interp_fcn.fppized.f90:897:23: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used input_wrf.fppized.f90:1021:27: warning: type of ‘wrf_ext_read_field’ does not match original declaration [-Wlto-type-mismatch] 1021 | ierr ) | ^ wrf_ext_read_field.fppized.f90:74:31: note: ‘wrf_ext_read_field’ was previously declared here 74 | SUBROUTINE wrf_ext_read_field( DataHandle,DateStr,Var,Field,FieldType,Comm,IOComm, & | ^ wrf_ext_read_field.fppized.f90:74:31: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used input_wrf.fppized.f90:1448:30: warning: type of ‘wrf_ext_read_field_arr’ does not match original declaration [-Wlto-type-mismatch] 1448 | ierr ) | ^ wrf_ext_read_field.fppized.f90:3:35: note: ‘wrf_ext_read_field_arr’ was previously declared here 3 | SUBROUTINE wrf_ext_read_field_arr(DataHandle,DateStr,Var & | ^ wrf_ext_read_field.fppized.f90:3:35: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used : warning: type of ‘__builtin_realloc’ does not match original declaration [-Wlto-type-mismatch] /usr/include/stdlib.h:549:14: note: type mismatch in parameter 1 549 | extern void *realloc (void *__ptr, size_t __size) | ^ /usr/include/stdlib.h:549:14: note: ‘realloc’ was previously declared here /usr/include/stdlib.h:549:14: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:725:75: warning: type of ‘ext_ncd_logicalfieldio’ does not match original declaration [-Wlto-type-mismatch] 725 | call ext_ncd_LogicalFieldIO (IO,NCID,VarID,VStart,VCount,XField,Status) | ^ field_routines.fppized.f90:120:33: note: ‘ext_ncd_logicalfieldio’ was previously declared here 120 | subroutine ext_ncd_LogicalFieldIO(IO,NCID,VarID,VStart,VCount,Data,Status) | ^ field_routines.fppized.f90:120:33: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:721:75: warning: type of ‘ext_ncd_doublefieldio’ does not match original declaration [-Wlto-type-mismatch] 721 | call ext_ncd_DoubleFieldIO (IO,NCID,VarID,VStart,VCount,XField,Status) | ^ field_routines.fppized.f90:64:32: note: ‘ext_ncd_doublefieldio’ was previously declared here 64 | subroutine ext_ncd_DoubleFieldIO(IO,NCID,VarID,VStart,VCount,Data,Status) | ^ field_routines.fppized.f90:64:32: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrf_io.fppized.f90:719:75: warning: type of ‘ext_ncd_realfieldio’ does not match original declaration [-Wlto-type-mismatch] 719 | call ext_ncd_RealFieldIO (IO,NCID,VarID,VStart,VCount,XField,Status) | ^ field_routines.fppized.f90:36:30: note: ‘ext_ncd_realfieldio’ was previously declared here 36 | subroutine ext_ncd_RealFieldIO(IO,NCID,VarID,VStart,VCount,Data,Status) | ^ field_routines.fppized.f90:36:30: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_sf_ssib.fppized.f90:4232:43: warning: type of ‘wrf_message’ does not match original declaration [-Wlto-type-mismatch] 4232 | CALL wrf_message ( message ) | ^ module_wrf_error.fppized.f90:140:22: note: type mismatch in parameter 2 140 | SUBROUTINE wrf_message( str ) | ^ module_wrf_error.fppized.f90:140:22: note: type ‘long int’ should match type ‘void’ module_wrf_error.fppized.f90:140:22: note: ‘wrf_message’ was previously declared here module_wrf_error.fppized.f90:140:22: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_integrate.fppized.f90:290:54: warning: type of ‘wrf_dfi_startfwd_init’ does not match original declaration [-Wlto-type-mismatch] 290 | CALL wrf_dfi_startfwd_init(new_nest) | ^ dfi.fppized.f90:2423:35: note: type mismatch in parameter 1 2423 | SUBROUTINE wrf_dfi_startfwd_init ( ) | ^ dfi.fppized.f90:2423:35: note: ‘wrf_dfi_startfwd_init’ was previously declared here cmfm1f.fppized.f90:89:24: warning: type of ‘cmfgkf’ does not match original declaration [-Wlto-type-mismatch] 89 | 1, lot, wa(iw) ) | ^ cmfgkf.fppized.f90:1:17: note: ‘cmfgkf’ was previously declared here 1 | subroutine cmfgkf ( lot, ido, ip, l1, lid, na, cc, cc1, im1, in1, & | ^ cmfgkf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used cmfm1b.fppized.f90:89:24: warning: type of ‘cmfgkb’ does not match original declaration [-Wlto-type-mismatch] 89 | 1, lot, wa(iw) ) | ^ cmfgkb.fppized.f90:1:17: note: ‘cmfgkb’ was previously declared here 1 | subroutine cmfgkb ( lot, ido, ip, l1, lid, na, cc, cc1, im1, in1, & | ^ cmfgkb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used cmfm1f.fppized.f90:84:72: warning: type of ‘cmf5kf’ does not match original declaration [-Wlto-type-mismatch] 84 | call cmf5kf ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | ^ cmf5kf.fppized.f90:1:17: note: ‘cmf5kf’ was previously declared here 1 | subroutine cmf5kf ( lot, ido, l1, na, cc, im1, in1, ch, im2, in2, wa ) | ^ cmf5kf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used cmfm1b.fppized.f90:84:72: warning: type of ‘cmf5kb’ does not match original declaration [-Wlto-type-mismatch] 84 | call cmf5kb ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | ^ cmf5kb.fppized.f90:1:17: note: ‘cmf5kb’ was previously declared here 1 | subroutine cmf5kb ( lot, ido, l1, na, cc, im1, in1, ch, im2, in2, wa ) | ^ cmf5kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used cmfm1f.fppized.f90:80:72: warning: type of ‘cmf4kf’ does not match original declaration [-Wlto-type-mismatch] 80 | call cmf4kf ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | ^ cmf4kf.fppized.f90:1:17: note: ‘cmf4kf’ was previously declared here 1 | subroutine cmf4kf ( lot, ido, l1, na, cc, im1, in1, ch, im2, in2, wa ) | ^ cmf4kf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used cmfm1b.fppized.f90:80:72: warning: type of ‘cmf4kb’ does not match original declaration [-Wlto-type-mismatch] 80 | call cmf4kb ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | ^ cmf4kb.fppized.f90:1:17: note: ‘cmf4kb’ was previously declared here 1 | subroutine cmf4kb ( lot, ido, l1, na, cc, im1, in1, ch, im2, in2, wa ) | ^ cmf4kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used cmfm1f.fppized.f90:76:72: warning: type of ‘cmf3kf’ does not match original declaration [-Wlto-type-mismatch] 76 | call cmf3kf ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | ^ cmf3kf.fppized.f90:1:17: note: ‘cmf3kf’ was previously declared here 1 | subroutine cmf3kf ( lot, ido, l1, na, cc, im1, in1, ch, im2, in2, wa ) | ^ cmf3kf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used cmfm1b.fppized.f90:76:72: warning: type of ‘cmf3kb’ does not match original declaration [-Wlto-type-mismatch] 76 | call cmf3kb ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | ^ cmf3kb.fppized.f90:1:17: note: ‘cmf3kb’ was previously declared here 1 | subroutine cmf3kb ( lot, ido, l1, na, cc, im1, in1, ch, im2, in2, wa ) | ^ cmf3kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used cmfm1f.fppized.f90:72:72: warning: type of ‘cmf2kf’ does not match original declaration [-Wlto-type-mismatch] 72 | call cmf2kf ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | ^ cmf2kf.fppized.f90:1:17: note: ‘cmf2kf’ was previously declared here 1 | subroutine cmf2kf ( lot, ido, l1, na, cc, im1, in1, ch, im2, in2, wa ) | ^ cmf2kf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used cmfm1b.fppized.f90:72:72: warning: type of ‘cmf2kb’ does not match original declaration [-Wlto-type-mismatch] 72 | call cmf2kb ( lot, ido, l1, na, c, jump, inc, ch, 1, lot, wa(iw) ) | ^ cmf2kb.fppized.f90:1:17: note: ‘cmf2kb’ was previously declared here 1 | subroutine cmf2kb ( lot, ido, l1, na, cc, im1, in1, ch, im2, in2, wa ) | ^ cmf2kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_comm_dm_0.fppized.f90:8430:43: warning: type of ‘rsl_lite_pack’ does not match original declaration [-Wlto-type-mismatch] 8430 | ips, ipe, jps, jpe, kps, kpe ) | ^ module_comm_dm_0.fppized.f90:2573:43: warning: type of ‘rsl_lite_pack’ does not match original declaration [-Wlto-type-mismatch] 2573 | ips, ipe, jps, jpe, kps, kpe ) | ^ c_code.c:345:1: note: ‘rsl_lite_pack_’ was previously declared here 345 | RSL_LITE_PACK ( int * Fcomm0, char * buf , int * shw0 , | ^ module_dm.fppized.f90:1142:51: warning: type of ‘rsl_lite_get_hostname’ does not match original declaration [-Wlto-type-mismatch] 1142 | CALL rsl_lite_get_hostname( tmp, 512, n, cs ) | ^ c_code.c:205:1: note: return value type mismatch 205 | RSL_LITE_GET_HOSTNAME ( char * hn, int * size, int *n, int *hostid ) | ^ c_code.c:205:1: note: type ‘int’ should match type ‘void’ c_code.c:205:1: note: ‘rsl_lite_get_hostname_’ was previously declared here c1fm1f.fppized.f90:88:74: warning: type of ‘c1fgkf’ does not match original declaration [-Wlto-type-mismatch] 88 | call c1fgkf ( ido, ip, l1, lid, na, c, c, inc2, ch, ch, 1, wa(iw) ) | ^ c1fgkf.fppized.f90:1:17: note: ‘c1fgkf’ was previously declared here 1 | subroutine c1fgkf ( ido, ip, l1, lid, na, cc, cc1, in1, ch, ch1, in2, wa ) | ^ c1fgkf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used c1fm1b.fppized.f90:89:73: warning: type of ‘c1fgkb’ does not match original declaration [-Wlto-type-mismatch] 89 | call c1fgkb ( ido, ip, l1, lid, na, c, c, inc2, ch, ch, 2, wa(iw) ) | ^ c1fgkb.fppized.f90:1:17: note: ‘c1fgkb’ was previously declared here 1 | subroutine c1fgkb ( ido, ip, l1, lid, na, cc, cc1, in1, ch, ch1, in2, wa ) | ^ c1fgkb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used c1fm1f.fppized.f90:84:58: warning: type of ‘c1f5kf’ does not match original declaration [-Wlto-type-mismatch] 84 | call c1f5kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ c1f5kf.fppized.f90:1:17: note: ‘c1f5kf’ was previously declared here 1 | subroutine c1f5kf ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ c1f5kf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used c1fm1b.fppized.f90:85:57: warning: type of ‘c1f5kb’ does not match original declaration [-Wlto-type-mismatch] 85 | call c1f5kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ c1f5kb.fppized.f90:1:17: note: ‘c1f5kb’ was previously declared here 1 | subroutine c1f5kb ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ c1f5kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used c1fm1f.fppized.f90:80:58: warning: type of ‘c1f4kf’ does not match original declaration [-Wlto-type-mismatch] 80 | call c1f4kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ c1f4kf.fppized.f90:1:17: note: ‘c1f4kf’ was previously declared here 1 | subroutine c1f4kf ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ c1f4kf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used c1fm1b.fppized.f90:81:57: warning: type of ‘c1f4kb’ does not match original declaration [-Wlto-type-mismatch] 81 | call c1f4kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ c1f4kb.fppized.f90:1:17: note: ‘c1f4kb’ was previously declared here 1 | subroutine c1f4kb ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ c1f4kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used c1fm1f.fppized.f90:76:58: warning: type of ‘c1f3kf’ does not match original declaration [-Wlto-type-mismatch] 76 | call c1f3kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ c1f3kf.fppized.f90:1:17: note: ‘c1f3kf’ was previously declared here 1 | subroutine c1f3kf ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ c1f3kf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used c1fm1b.fppized.f90:77:57: warning: type of ‘c1f3kb’ does not match original declaration [-Wlto-type-mismatch] 77 | call c1f3kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ c1f3kb.fppized.f90:1:17: note: ‘c1f3kb’ was previously declared here 1 | subroutine c1f3kb ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ c1f3kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used c1fm1f.fppized.f90:72:58: warning: type of ‘c1f2kf’ does not match original declaration [-Wlto-type-mismatch] 72 | call c1f2kf ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ c1f2kf.fppized.f90:1:17: note: ‘c1f2kf’ was previously declared here 1 | subroutine c1f2kf ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ c1f2kf.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used c1fm1b.fppized.f90:73:57: warning: type of ‘c1f2kb’ does not match original declaration [-Wlto-type-mismatch] 73 | call c1f2kb ( ido, l1, na, c, inc2, ch, 2, wa(iw) ) | ^ c1f2kb.fppized.f90:1:17: note: ‘c1f2kb’ was previously declared here 1 | subroutine c1f2kb ( ido, l1, na, cc, in1, ch, in2, wa ) | ^ c1f2kb.fppized.f90:1:17: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used module_domain.fppized.f90:1158:97: warning: type of ‘wrf_error_fatal’ does not match original declaration [-Wlto-type-mismatch] 1158 | CALL wrf_error_fatal('Did you really type > max_hst_mods fields into ', TRIM(fname) ,' ?') | ^ module_wrf_error.fppized.f90:217:26: note: type mismatch in parameter 2 217 | SUBROUTINE wrf_error_fatal( str ) | ^ module_wrf_error.fppized.f90:217:26: note: ‘wrf_error_fatal’ was previously declared here module_wrf_error.fppized.f90:217:26: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used lto-wrapper: warning: using serial compilation of 125 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make.wrf_s command: 2021-07-08 15:39:12 (1625773152.29241) Elapsed time for make.wrf_s command: 00:17:30 (1050.84222888947) Issuing options.wrf_s command 'specmake --output-sync --jobs=1 options TARGET=wrf_s' Start options.wrf_s command: 2021-07-08 15:39:12 (1625773152.29597) FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options.wrf_s command: 2021-07-08 15:39:12 (1625773152.46006) Elapsed time for options.wrf_s command: 00:00:00 (0.164089918136597) Issuing compiler-version.wrf_s command 'specmake --output-sync --jobs=1 compiler-version TARGET=wrf_s' Start compiler-version.wrf_s command: 2021-07-08 15:39:12 (1625773152.464) FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.wrf_s command: 2021-07-08 15:39:12 (1625773152.66475) Elapsed time for compiler-version.wrf_s command: 00:00:00 (0.20074200630188) Compile for '621.wrf_s' ended at: 2021-07-08 15:39:12 (1625773152) Elapsed compile for '621.wrf_s': 00:20:35 (1235) FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_s_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_validate_627_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_s_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/exe/cam4_validate_627_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 627.cam4_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:39:13] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/build/build_peak_x86_64-m64.0000/Makefile.cam4_s.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename array_lib.f90)): array_lib.f90 $(addsuffix $(OBJ),$(basename mrgrnk.f90) ) $(addsuffix $(OBJ), $(basename dsd.f90)): dsd.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 math_lib.f90) ) $(addsuffix $(OBJ), $(basename format_input.f90)): format_input.f90 $(addsuffix $(OBJ),$(basename array_lib.f90) ) $(addsuffix $(OBJ), $(basename hirsbt.f90)): hirsbt.f90 $(addsuffix $(OBJ),$(basename hirsbtpar.f90) physconst.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), $(basename load_hydrometeor_classes.f90)): load_hydrometeor_classes.f90 $(addsuffix $(OBJ),$(basename radar_simulator_types.f90) ) $(addsuffix $(OBJ), $(basename math_lib.f90)): math_lib.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 mrgrnk.f90) ) $(addsuffix $(OBJ), $(basename netcdf/netcdf.f90)): netcdf/netcdf.f90 $(addsuffix $(OBJ),$(basename netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), $(basename netcdf/netcdf_test.f90)): netcdf/netcdf_test.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90 netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), $(basename radar_simulator.f90)): radar_simulator.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 math_lib.f90 mrgrnk.f90 optics_lib.f90 radar_simulator_types.f90) ) $(addsuffix $(OBJ), $(basename validate-cam.f90)): validate-cam.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) ) $(addsuffix $(OBJ), $(basename zeff.f90)): zeff.f90 $(addsuffix $(OBJ),$(basename math_lib.f90 optics_lib.f90) ) $(addsuffix $(OBJ), ESMF_AlarmClockMod.fppized): ESMF_AlarmClockMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_ClockMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_AlarmMod.fppized): ESMF_AlarmMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_BaseTimeMod.fppized): ESMF_BaseTimeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized) $(addsuffix $(OBJ), ESMF_CalendarMod.fppized): ESMF_CalendarMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized) $(addsuffix $(OBJ), ESMF_ClockMod.fppized): ESMF_ClockMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_Mod.fppized): ESMF_Mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmClockMod.fppized ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_ClockMod.fppized ESMF_FractionMod.fppized ESMF_Stubs.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_Stubs.fppized): ESMF_Stubs.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_CalendarMod.fppized) $(addsuffix $(OBJ), ESMF_TimeIntervalMod.fppized): ESMF_TimeIntervalMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_FractionMod.fppized) $(addsuffix $(OBJ), ESMF_TimeMod.fppized): ESMF_TimeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_Stubs.fppized ESMF_TimeIntervalMod.fppized) $(addsuffix $(OBJ), FVperf_module.fppized): FVperf_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mod_comm.fppized perf_mod.fppized) $(addsuffix $(OBJ), Meat.fppized): Meat.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_ClockMod.fppized ESMF_FractionMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), abortutils.fppized): abortutils.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized mpishorthand.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), advect_tend.fppized): advect_tend.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized dynamics_vars.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), advnce.fppized): advnce.fppized.f90 $(addsuffix $(OBJ),$(basename ) aerodep_flx.fppized aircraft_emit.fppized aoa_tracers.fppized cam3_aero_data.fppized cam3_ozone_data.fppized camsrfexch_types.fppized chem_surfvals.fppized chemistry.fppized ghg_data.fppized iondrag.fppized perf_mod.fppized phys_buffer.fppized physics_types.fppized ppgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized radheat.fppized radiation.fppized shr_kind_mod.fppized solar_data.fppized tracers.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), aer_rad_props.fppized): aer_rad_props.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized constituents.fppized modal_aer_opt.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized radconstants.fppized shr_kind_mod.fppized wv_saturation.fppized) $(addsuffix $(OBJ), aerodep_flx.fppized): aerodep_flx.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized camsrfexch_types.fppized modal_aero_deposition.fppized mpishorthand.fppized namelist_utils.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), aerosol_intr.fppized): aerosol_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized camsrfexch_types.fppized constituents.fppized drydep_mod.fppized dust_intr.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized progseasalts_intr.fppized scyc.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized wetdep.fppized) $(addsuffix $(OBJ), aircraft_emit.fppized): aircraft_emit.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), alloc_mod.fppized): alloc_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), aoa_tracers.fppized): aoa_tracers.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized dycore.fppized dyn_grid.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), atm_comp_mct.fppized): atm_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized abortutils.fppized cam_comp.fppized cam_control_mod.fppized cam_cpl_indices.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized cam_restart.fppized camsrfexch_types.fppized co2_cycle.fppized constituents.fppized dust_intr.fppized dyn_grid.fppized filenames.fppized ioFileMod.fppized mct_mod.fppized mpishorthand.fppized perf_mod.fppized phys_control.fppized phys_grid.fppized physconst.fppized pio.fppized pmgrid.fppized ppgrid.fppized radiation.fppized runtime_opts.fppized scamMod.fppized seq_cdata_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), benergy.fppized): benergy.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized mod_comm.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), binary_io.fppized): binary_io.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized pmgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized) $(addsuffix $(OBJ), bnddyi.fppized): bnddyi.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), boundarydata.fppized): boundarydata.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized error_messages.fppized infnan.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized physconst.fppized physics_types.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), box_rearrange.fppized): box_rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized calcdisplace_mod.fppized pio_kinds.fppized pio_spmd_utils.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), buffer.fppized): buffer.fppized.f90 $(addsuffix $(OBJ),$(basename ) constituents.fppized infnan.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), calcdecomp.fppized): calcdecomp.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), calcdisplace_mod.fppized): calcdisplace_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized) $(addsuffix $(OBJ), cam3_aero_data.fppized): cam3_aero_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized boundarydata.fppized cam_logfile.fppized error_messages.fppized infnan.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized shr_scam_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), cam3_ozone_data.fppized): cam3_ozone_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized boundarydata.fppized cam_history.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), cam_comp.fppized): cam_comp.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized cam_restart.fppized camsrfexch_types.fppized dycore.fppized dyn_comp.fppized history_defaults.fppized history_scam.fppized infnan.fppized inital.fppized metdata.fppized mpishorthand.fppized perf_mod.fppized phys_buffer.fppized physics_types.fppized physpkg.fppized pmgrid.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized startup_initialconds.fppized stepon.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), cam_control_mod.fppized): cam_control_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), cam_cpl_indices.fppized): cam_cpl_indices.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized) $(addsuffix $(OBJ), cam_diagnostics.fppized): cam_diagnostics.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized buffer.fppized cam_control_mod.fppized cam_history.fppized camsrfexch_types.fppized check_energy.fppized chemistry.fppized co2_cycle.fppized constituent_burden.fppized constituents.fppized dycore.fppized infnan.fppized interpolate_data.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized tidal_diag.fppized time_manager.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cam_history.fppized): cam_history.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history_buffers.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized chem_surfvals.fppized cosp_share.fppized dycore.fppized dyn_grid.fppized filenames.fppized hycoef.fppized interp_mod.fppized ioFileMod.fppized perf_mod.fppized phys_grid.fppized physconst.fppized pio.fppized pmgrid.fppized ppgrid.fppized pspect.fppized rgrid.fppized sat_hist.fppized scamMod.fppized shr_kind_mod.fppized shr_sys_mod.fppized solar_data.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), cam_history_buffers.fppized): cam_history_buffers.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history_support.fppized cam_pio_utils.fppized dyn_grid.fppized phys_grid.fppized physconst.fppized pio.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), cam_history_support.fppized): cam_history_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized pio.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), cam_pio_utils.fppized): cam_pio_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history_support.fppized cam_logfile.fppized dycore.fppized dyn_grid.fppized perf_mod.fppized phys_grid.fppized pio.fppized pio_support.fppized ppgrid.fppized seq_io_mod.fppized shr_kind_mod.fppized shr_string_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), cam_restart.fppized): cam_restart.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized chem_surfvals.fppized dycore.fppized dyn_comp.fppized filenames.fppized infnan.fppized ioFileMod.fppized mpishorthand.fppized phys_grid.fppized pio.fppized pmgrid.fppized ppgrid.fppized radiation.fppized ref_pres.fppized restart_dynamics.fppized restart_physics.fppized rgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), camsrfexch_types.fppized): camsrfexch_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized infnan.fppized phys_grid.fppized ppgrid.fppized seq_drydep_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ccsm_comp_mod.fppized): ccsm_comp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized atm_comp_mct.fppized glc_comp_mct.fppized ice_comp_mct.fppized lnd_comp_mct.fppized map_atmatm_mct.fppized map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_glcglc_mct.fppized map_iceice_mct.fppized map_iceocn_mct.fppized map_lndlnd_mct.fppized map_ocnocn_mct.fppized map_rofocn_mct.fppized map_rofrof_mct.fppized map_snoglc_mct.fppized map_snosno_mct.fppized mct_mod.fppized mrg_x2a_mct.fppized mrg_x2g_mct.fppized mrg_x2i_mct.fppized mrg_x2l_mct.fppized mrg_x2o_mct.fppized mrg_x2s_mct.fppized ocn_comp_mct.fppized perf_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_domain_mct.fppized seq_flds_indices.fppized seq_flux_mct.fppized seq_frac_mct.fppized seq_hist_mod.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_rest_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fp pized shr_kind_mod.fppized shr_map_mod.fppized shr_mem_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_scam_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), ccsm_driver.fppized): ccsm_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized ccsm_comp_mod.fppized perf_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), cd_core.fppized): cd_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized abortutils.fppized cam_logfile.fppized dynamics_vars.fppized fv_control_mod.fppized metdata.fppized mod_comm.fppized mpishorthand.fppized pft_module.fppized shr_kind_mod.fppized spmd_utils.fppized sw_core.fppized) $(addsuffix $(OBJ), check_energy.fppized): check_energy.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized phys_buffer.fppized phys_gmean.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), chem_surfvals.fppized): chem_surfvals.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized error_messages.fppized infnan.fppized interpolate_data.fppized ioFileMod.fppized m_types.fppized mo_flbc.fppized mpishorthand.fppized namelist_utils.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), chemistry.fppized): chemistry.fppized.f90 $(addsuffix $(OBJ),$(basename ) camsrfexch_types.fppized phys_buffer.fppized physics_types.fppized pio.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), cldsav.fppized): cldsav.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), cldwat.fppized): cldwat.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_logfile.fppized comsrf.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_control.fppized phys_grid.fppized pmgrid.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cldwat2m_macro.fppized): cldwat2m_macro.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cloud_fraction.fppized constituents.fppized phys_debug_util.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cldwat2m_micro.fppized): cldwat2m_micro.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized cldwat2m_macro.fppized phys_control.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cloud_diagnostics.fppized): cloud_diagnostics.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_buffer.fppized physics_types.fppized) $(addsuffix $(OBJ), cloud_fraction.fppized): cloud_fraction.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized chemistry.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cloud_rad_props.fppized): cloud_rad_props.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_buffer.fppized physics_types.fppized ppgrid.fppized radconstants.fppized) $(addsuffix $(OBJ), cmparray_mod.fppized): cmparray_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), co2_cycle.fppized): co2_cycle.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized chem_surfvals.fppized co2_data_flux.fppized constituents.fppized mpishorthand.fppized namelist_utils.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), co2_data_flux.fppized): co2_data_flux.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized error_messages.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized phys_grid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), commap.fppized): commap.fppized.f90 $(addsuffix $(OBJ),$(basename ) pmgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), comspe.fppized): comspe.fppized.f90 $(addsuffix $(OBJ),$(basename ) pmgrid.fppized pspect.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), comsrf.fppized): comsrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized infnan.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), constituent_burden.fppized): constituent_burden.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), constituents.fppized): constituents.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized physconst.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), conv_water.fppized): conv_water.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), convect_deep.fppized): convect_deep.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized gw_drag.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized zm_conv_intr.fppized) $(addsuffix $(OBJ), convect_shallow.fppized): convect_shallow.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized hk_conv.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized uw_conv.fppized uwshcu.fppized wv_saturation.fppized zm_conv.fppized) $(addsuffix $(OBJ), cosp.fppized): cosp.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_modis_simulator.fppized cosp_simulator.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_isccp_simulator.fppized): cosp_isccp_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_lidar.fppized): cosp_lidar.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_misr_simulator.fppized): cosp_misr_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_modis_simulator.fppized): cosp_modis_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized modis_simulator.fppized) $(addsuffix $(OBJ), cosp_radar.fppized): cosp_radar.fppized.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 atmos_lib.f90 format_input.f90 math_lib.f90 mrgrnk.f90 optics_lib.f90 radar_simulator_types.f90) cosp_constants.fppized cosp_types.fppized cosp_utils.fppized) $(addsuffix $(OBJ), cosp_share.fppized): cosp_share.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), cosp_simulator.fppized): cosp_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_isccp_simulator.fppized cosp_lidar.fppized cosp_misr_simulator.fppized cosp_modis_simulator.fppized cosp_radar.fppized cosp_stats.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_stats.fppized): cosp_stats.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized llnl_stats.fppized lmd_ipsl_stats.fppized) $(addsuffix $(OBJ), cosp_types.fppized): cosp_types.fppized.f90 $(addsuffix $(OBJ),$(basename radar_simulator_types.f90) cosp_constants.fppized cosp_utils.fppized) $(addsuffix $(OBJ), cosp_utils.fppized): cosp_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized) $(addsuffix $(OBJ), cospsimulator_intr.fppized): cospsimulator_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized cam_pio_utils.fppized camsrfexch_types.fppized cmparray_mod.fppized constituents.fppized cosp.fppized cosp_constants.fppized cosp_modis_simulator.fppized cosp_share.fppized cosp_types.fppized error_messages.fppized interpolate_data.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cpslec.fppized): cpslec.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ctem.fppized): ctem.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized dynamics_vars.fppized hycoef.fppized interpolate_data.fppized mpishorthand.fppized namelist_utils.fppized parutilitiesmodule.fppized physconst.fppized pmgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), d2a3dijk.fppized): d2a3dijk.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), d2a3dikj.fppized): d2a3dikj.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized perf_mod.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), dadadj.fppized): dadadj.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_logfile.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), debugutilitiesmodule.fppized): debugutilitiesmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized) $(addsuffix $(OBJ), decompmodule.fppized): decompmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized debugutilitiesmodule.fppized) $(addsuffix $(OBJ), diag_dynvar_ic.fppized): diag_dynvar_ic.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized dynamics_vars.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), diag_module.fppized): diag_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mod_comm.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), diffusion_solver.fppized): diffusion_solver.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized phys_control.fppized phys_debug_util.fppized time_manager.fppized) $(addsuffix $(OBJ), dp_coupling.fppized): dp_coupling.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized check_energy.fppized constituents.fppized ctem.fppized dyn_comp.fppized dynamics_vars.fppized geopotential.fppized metdata.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized rgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized spmd_dyn.fppized) $(addsuffix $(OBJ), dryairm.fppized): dryairm.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized cam_logfile.fppized constituents.fppized dynamics_vars.fppized mean_module.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), drydep_mod.fppized): drydep_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), dust_intr.fppized): dust_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerodep_flx.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized constituents.fppized drydep_mod.fppized dust_sediment_mod.fppized error_function.fppized interpolate_data.fppized ioFileMod.fppized mo_constants.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized wetdep.fppized) $(addsuffix $(OBJ), dust_sediment_mod.fppized): dust_sediment_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), dycore.fppized): dycore.fppized.f90 $(addsuffix $(OBJ),$(basename ) pmgrid.fppized) $(addsuffix $(OBJ), dyn_comp.fppized): dyn_comp.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized ctem.fppized diag_module.fppized dycore.fppized dynamics_vars.fppized fv_control_mod.fppized hycoef.fppized infnan.fppized metdata.fppized mod_comm.fppized mpishorthand.fppized parutilitiesmodule.fppized perf_mod.fppized pfixer.fppized phys_control.fppized physconst.fppized pio.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), dyn_grid.fppized): dyn_grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized commap.fppized hycoef.fppized physconst.fppized pmgrid.fppized rgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized) $(addsuffix $(OBJ), dyn_internal_state.fppized): dyn_internal_state.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized) $(addsuffix $(OBJ), dynamics_vars.fppized): dynamics_vars.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized decompmodule.fppized fv_control_mod.fppized ghostmodule.fppized mod_comm.fppized mpishorthand.fppized parutilitiesmodule.fppized pft_module.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), eddy_diff.fppized): eddy_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized diffusion_solver.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized ppgrid.fppized time_manager.fppized) $(addsuffix $(OBJ), epvd.fppized): epvd.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mapz_module.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), error_messages.fppized): error_messages.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) abortutils.fppized cam_logfile.fppized) $(addsuffix $(OBJ), esinti.fppized): esinti.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized wv_saturation.fppized) $(addsuffix $(OBJ), fft99.fppized): fft99.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), filenames.fppized): filenames.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), fill_module.fppized): fill_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), flux_avg.fppized): flux_avg.fppized.f90 $(addsuffix $(OBJ),$(basename ) camsrfexch_types.fppized phys_buffer.fppized phys_debug.fppized phys_grid.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), fv_control_mod.fppized): fv_control_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), fv_prints.fppized): fv_prints.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized camsrfexch_types.fppized constituents.fppized dynamics_vars.fppized mpishorthand.fppized parutilitiesmodule.fppized perf_mod.fppized phys_gmean.fppized phys_grid.fppized physics_types.fppized ppgrid.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), gauaw_mod.fppized): gauaw_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), geopk.fppized): geopk.fppized.f90 $(addsuffix $(OBJ),$(basename ) decompmodule.fppized dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized spmd_dyn.fppized) $(addsuffix $(OBJ), geopotential.fppized): geopotential.fppized.f90 $(addsuffix $(OBJ),$(basename ) dycore.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), gffgch.fppized): gffgch.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized physconst.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ghg_data.fppized): ghg_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized chem_surfvals.fppized error_messages.fppized phys_buffer.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ghostmodule.fppized): ghostmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) debugutilitiesmodule.fppized decompmodule.fppized) $(addsuffix $(OBJ), glc_comp_mct.fppized): glc_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), gw_drag.fppized): gw_drag.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_control.fppized phys_grid.fppized physics_types.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), hb_diff.fppized): hb_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized phys_debug.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), history_defaults.fppized): history_defaults.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized dycore.fppized phys_control.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), history_scam.fppized): history_scam.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized pmgrid.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), hk_conv.fppized): hk_conv.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), horizontal_interpolate.fppized): horizontal_interpolate.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized scamMod.fppized shr_const_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), hycoef.fppized): hycoef.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized pio.fppized pmgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), ice_comp_mct.fppized): ice_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), infnan.fppized): infnan.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), inidat.fppized): inidat.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerosol_intr.fppized aoa_tracers.fppized cam_control_mod.fppized cam_history_support.fppized cam_logfile.fppized chemistry.fppized co2_cycle.fppized commap.fppized constituents.fppized dyn_comp.fppized dyn_grid.fppized dyn_internal_state.fppized dynamics_vars.fppized fv_control_mod.fppized microp_driver.fppized mod_comm.fppized mpishorthand.fppized ncdio_atm.fppized phys_control.fppized phys_grid.fppized physconst.fppized pio.fppized pmgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized stratiform.fppized tracers.fppized) $(addsuffix $(OBJ), inital.fppized): inital.fppized.f90 $(addsuffix $(OBJ),$(basename ) chem_surfvals.fppized dyn_comp.fppized dyn_internal_state.fppized dynamics_vars.fppized phys_grid.fppized ref_pres.fppized shr_kind_mod.fppized startup_initialconds.fppized) $(addsuffix $(OBJ), initcom.fppized): initcom.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized commap.fppized physconst.fppized pmgrid.fppized pspect.fppized rgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), initindx.fppized): initindx.fppized.f90 $(addsuffix $(OBJ),$(basename ) aerosol_intr.fppized aircraft_emit.fppized aoa_tracers.fppized cam3_aero_data.fppized cam3_ozone_data.fppized cam_control_mod.fppized cam_diagnostics.fppized check_energy.fppized chemistry.fppized co2_cycle.fppized constituents.fppized conv_water.fppized convect_deep.fppized convect_shallow.fppized flux_avg.fppized ghg_data.fppized gw_drag.fppized iondrag.fppized ionosphere.fppized macrop_driver.fppized microp_driver.fppized phys_buffer.fppized phys_control.fppized physconst.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized radiation.fppized shr_kind_mod.fppized spmd_utils.fppized sslt_rebin.fppized stratiform.fppized string_utils.fppized tracers.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), interp_mod.fppized): interp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized pio.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), interpolate_data.fppized): interpolate_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), intp_util.fppized): intp_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized phys_grid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ioFileMod.fppized): ioFileMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), iompi_mod.fppized): iompi_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), iondrag.fppized): iondrag.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized phys_buffer.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ionf_mod.fppized): ionf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), ionosphere.fppized): ionosphere.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized phys_buffer.fppized physics_types.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), iop_surf.fppized): iop_surf.fppized.f90 $(addsuffix $(OBJ),$(basename ) camsrfexch_types.fppized physconst.fppized ppgrid.fppized scamMod.fppized) $(addsuffix $(OBJ), llnl_stats.fppized): llnl_stats.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized) $(addsuffix $(OBJ), lmd_ipsl_stats.fppized): lmd_ipsl_stats.fppized.f90 $(addsuffix $(OBJ),$(basename ) llnl_stats.fppized) $(addsuffix $(OBJ), lnd_comp_mct.fppized): lnd_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), m_Accumulator.fppized): m_Accumulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_die.fppized m_mall.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AccumulatorComms.fppized): m_AccumulatorComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_Accumulator.fppized m_AttrVect.fppized m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVect.fppized): m_AttrVect.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_List.fppized m_SortingTools.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_mall.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVectComms.fppized): m_AttrVectComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_FcComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVectReduce.fppized): m_AttrVectReduce.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ConvertMaps.fppized): m_ConvertMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalMap.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_SortingTools.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ExchangeMaps.fppized): m_ExchangeMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_ConvertMaps.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_FcComms.fppized): m_FcComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mpif90.fppized m_realkinds.fppized) $(addsuffix $(OBJ), m_FileResolv.fppized): m_FileResolv.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_StrTemplate.fppized m_die.fppized) $(addsuffix $(OBJ), m_GeneralGrid.fppized): m_GeneralGrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GeneralGridComms.fppized): m_GeneralGridComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVectComms.fppized m_GeneralGrid.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalMap.fppized): m_GlobalMap.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalSegMap.fppized): m_GlobalSegMap.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_FcComms.fppized m_MCTWorld.fppized m_SortingTools.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalSegMapComms.fppized): m_GlobalSegMapComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalToLocal.fppized): m_GlobalToLocal.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalMap.fppized m_GlobalSegMap.fppized m_Navigator.fppized m_SparseMatrix.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_char.fppized): m_IndexBin_char.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_integer.fppized): m_IndexBin_integer.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_logical.fppized): m_IndexBin_logical.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_List.fppized): m_List.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_String.fppized m_die.fppized m_mall.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MCTWorld.fppized): m_MCTWorld.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_List.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MatAttrVectMul.fppized): m_MatAttrVectMul.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_Rearranger.fppized m_SparseMatrix.fppized m_SparseMatrixPlus.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Merge.fppized): m_Merge.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_GeneralGrid.fppized m_List.fppized m_String.fppized m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MergeSorts.fppized): m_MergeSorts.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Navigator.fppized): m_Navigator.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mall.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Permuter.fppized): m_Permuter.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_realkinds.fppized) $(addsuffix $(OBJ), m_Rearranger.fppized): m_Rearranger.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_Router.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Router.fppized): m_Router.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_ExchangeMaps.fppized m_GlobalSegMap.fppized m_GlobalToLocal.fppized m_MCTWorld.fppized m_MergeSorts.fppized m_Permuter.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SortingTools.fppized): m_SortingTools.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_IndexBin_char.fppized m_IndexBin_integer.fppized m_IndexBin_logical.fppized m_MergeSorts.fppized m_Permuter.fppized m_rankMerge.fppized) $(addsuffix $(OBJ), m_SparseMatrix.fppized): m_SparseMatrix.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixComms.fppized): m_SparseMatrixComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_SparseMatrixDecomp.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixDecomp.fppized): m_SparseMatrixDecomp.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_die.fppized) $(addsuffix $(OBJ), m_SparseMatrixPlus.fppized): m_SparseMatrixPlus.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_GlobalToLocal.fppized m_List.fppized m_Rearranger.fppized m_SparseMatrix.fppized m_SparseMatrixComms.fppized m_SparseMatrixToMaps.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixToMaps.fppized): m_SparseMatrixToMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SpatialIntegral.fppized): m_SpatialIntegral.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectReduce.fppized m_GeneralGrid.fppized m_List.fppized m_SpatialIntegralV.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SpatialIntegralV.fppized): m_SpatialIntegralV.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectReduce.fppized m_List.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_StrTemplate.fppized): m_StrTemplate.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_String.fppized): m_String.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mall.fppized m_mpif90.fppized) $(addsuffix $(OBJ), m_TraceBack.fppized): m_TraceBack.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_String.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Transfer.fppized): m_Transfer.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_MCTWorld.fppized m_Router.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_die.fppized): m_die.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_dropdead.fppized m_flow.fppized m_mpif90.fppized m_mpout.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_dropdead.fppized): m_dropdead.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_flow.fppized): m_flow.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized) $(addsuffix $(OBJ), m_inpak90.fppized): m_inpak90.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_ioutil.fppized m_mall.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ioutil.fppized): m_ioutil.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_stdio.fppized) $(addsuffix $(OBJ), m_mall.fppized): m_mall.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized m_die.fppized m_ioutil.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_mpif90.fppized): m_mpif90.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_mpif.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_mpout.fppized): m_mpout.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_dropdead.fppized m_ioutil.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_rankMerge.fppized): m_rankMerge.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_realkinds.fppized) $(addsuffix $(OBJ), m_zeit.fppized): m_zeit.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_SortingTools.fppized m_die.fppized m_ioutil.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), macrop_driver.fppized): macrop_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized cldwat.fppized cldwat2m_macro.fppized cloud_fraction.fppized constituents.fppized convect_shallow.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), majorsp_diffusion.fppized): majorsp_diffusion.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), map_atmatm_mct.fppized): map_atmatm_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmice_mct.fppized): map_atmice_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_map_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmlnd_mct.fppized): map_atmlnd_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_map_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmocn_mct.fppized): map_atmocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_map_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_glcglc_mct.fppized): map_glcglc_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_iceice_mct.fppized): map_iceice_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_iceocn_mct.fppized): map_iceocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_lndlnd_mct.fppized): map_lndlnd_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_ocnocn_mct.fppized): map_ocnocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_rofocn_mct.fppized): map_rofocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_rofrof_mct.fppized): map_rofrof_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_snoglc_mct.fppized): map_snoglc_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_snosno_mct.fppized): map_snosno_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), mapz_module.fppized): mapz_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), marsaglia.fppized): marsaglia.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), mcshallow.fppized): mcshallow.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized error_function.fppized ppgrid.fppized) $(addsuffix $(OBJ), mct_mod.fppized): mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_Accumulator.fppized m_AttrVect.fppized m_AttrVectComms.fppized m_GeneralGrid.fppized m_GeneralGridComms.fppized m_GlobalSegMap.fppized m_GlobalSegMapComms.fppized m_GlobalToLocal.fppized m_List.fppized m_MCTWorld.fppized m_MatAttrVectMul.fppized m_MergeSorts.fppized m_Permuter.fppized m_Rearranger.fppized m_Router.fppized m_SparseMatrix.fppized m_SparseMatrixComms.fppized m_SparseMatrixPlus.fppized m_SparseMatrixToMaps.fppized m_String.fppized m_Transfer.fppized m_die.fppized m_inpak90.fppized m_stdio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), mct_rearrange.fppized): mct_rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized m_AttrVect.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_Rearranger.fppized pio_kinds.fppized pio_quicksort.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), mean_module.fppized): mean_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized commap.fppized dynamics_vars.fppized parutilitiesmodule.fppized perf_mod.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), metdata.fppized): metdata.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized dynamics_vars.fppized hycoef.fppized infnan.fppized ioFileMod.fppized mod_comm.fppized mpishorthand.fppized ncdio_atm.fppized perf_mod.fppized phys_grid.fppized physics_types.fppized pio.fppized pmgrid.fppized ppgrid.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), microp_aero.fppized): microp_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cldwat2m_macro.fppized constituents.fppized error_function.fppized ndrop.fppized phys_control.fppized physconst.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized spmd_utils.fppized wv_saturation.fppized) $(addsuffix $(OBJ), microp_driver.fppized): microp_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cldwat.fppized cldwat2m_macro.fppized cldwat2m_micro.fppized constituents.fppized conv_water.fppized convect_shallow.fppized dycore.fppized microp_aero.fppized ndrop.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), mo_constants.fppized): mo_constants.fppized.f90 $(addsuffix $(OBJ),$(basename ) physconst.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mo_flbc.fppized): mo_flbc.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized commap.fppized constituents.fppized interpolate_data.fppized ioFileMod.fppized m_types.fppized mo_constants.fppized phys_gmean.fppized phys_grid.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized time_utils.fppized) $(addsuffix $(OBJ), mo_msis_ubc.fppized): mo_msis_ubc.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized phys_grid.fppized physconst.fppized pmgrid.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), mo_regrider.fppized): mo_regrider.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized dycore.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mo_solar_parms.fppized): mo_solar_parms.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized error_messages.fppized ioFileMod.fppized pio.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized time_utils.fppized) $(addsuffix $(OBJ), mo_util.fppized): mo_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), mod_comm.fppized): mod_comm.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized perf_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), modal_aer_opt.fppized): modal_aer_opt.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized constituents.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized rad_constituents.fppized radconstants.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), modal_aero_deposition.fppized): modal_aero_deposition.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized camsrfexch_types.fppized constituents.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), modis_simulator.fppized): modis_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cosp_constants.fppized) $(addsuffix $(OBJ), molec_diff.fppized): molec_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized infnan.fppized perf_mod.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized upper_bc.fppized) $(addsuffix $(OBJ), mrg_x2a_mct.fppized): mrg_x2a_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2g_mct.fppized): mrg_x2g_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2i_mct.fppized): mrg_x2i_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2l_mct.fppized): mrg_x2l_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2o_mct.fppized): mrg_x2o_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2s_mct.fppized): mrg_x2s_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), msise00.fppized): msise00.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), namelist_utils.fppized): namelist_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) string_utils.fppized) $(addsuffix $(OBJ), ncdio_atm.fppized): ncdio_atm.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized constituents.fppized dycore.fppized dyn_grid.fppized phys_grid.fppized pio.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized shr_scam_mod.fppized shr_sys_mod.fppized spmd_utils.fppized string_utils.fppized xpavg_mod.fppized) $(addsuffix $(OBJ), ndrop.fppized): ndrop.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized error_function.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized wv_saturation.fppized) $(addsuffix $(OBJ), nf_mod.fppized): nf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), ocn_comp.fppized): ocn_comp.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized ocn_types.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ocn_comp_mct.fppized): ocn_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized ocn_comp.fppized ocn_types.fppized perf_mod.fppized phys_grid.fppized physconst.fppized ppgrid.fppized seq_cdata_mod.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), ocn_types.fppized): ocn_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), p_d_adjust.fppized): p_d_adjust.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized parutilitiesmodule.fppized perf_mod.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), par_vecsum.fppized): par_vecsum.fppized.f90 $(addsuffix $(OBJ),$(basename ) parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), par_xsum.fppized): par_xsum.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized cam_logfile.fppized dynamics_vars.fppized parutilitiesmodule.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), param_cldoptics.fppized): param_cldoptics.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized conv_water.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pkg_cldoptics.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), parutilitiesmodule.fppized): parutilitiesmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized debugutilitiesmodule.fppized decompmodule.fppized ghostmodule.fppized mod_comm.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), perf_mod.fppized): perf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) namelist_utils.fppized perf_utils.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), pfixer.fppized): pfixer.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized commap.fppized dynamics_vars.fppized hycoef.fppized metdata.fppized mod_comm.fppized mpishorthand.fppized parutilitiesmodule.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), pft_module.fppized): pft_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) fv_control_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), phys_buffer.fppized): phys_buffer.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized dyn_grid.fppized infnan.fppized mpishorthand.fppized phys_grid.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized) $(addsuffix $(OBJ), phys_control.fppized): phys_control.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), phys_debug.fppized): phys_debug.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized camsrfexch_types.fppized constituents.fppized phys_debug_util.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), phys_debug_util.fppized): phys_debug_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), phys_gmean.fppized): phys_gmean.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized dycore.fppized dyn_grid.fppized mpishorthand.fppized perf_mod.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized repro_sum_mod.fppized rgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), phys_grid.fppized): phys_grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized dycore.fppized dyn_grid.fppized m_MergeSorts.fppized mod_comm.fppized mpishorthand.fppized perf_mod.fppized physconst.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized) $(addsuffix $(OBJ), phys_prop.fppized): phys_prop.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized ioFileMod.fppized pio.fppized radconstants.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), physconst.fppized): physconst.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized ppgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), physics_types.fppized): physics_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized dycore.fppized geopotential.fppized infnan.fppized phys_control.fppized phys_grid.fppized physconst.fppized pmgrid.fppized ppgrid.fppized scamMod.fppized shr_const_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), physpkg.fppized): physpkg.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aer_rad_props.fppized aerodep_flx.fppized aerosol_intr.fppized aircraft_emit.fppized aoa_tracers.fppized buffer.fppized cam3_aero_data.fppized cam3_ozone_data.fppized cam_control_mod.fppized cam_diagnostics.fppized cam_history.fppized cam_logfile.fppized camsrfexch_types.fppized check_energy.fppized chemistry.fppized cldwat.fppized cloud_diagnostics.fppized cloud_fraction.fppized cloud_rad_props.fppized co2_cycle.fppized comsrf.fppized constituents.fppized conv_water.fppized convect_deep.fppized convect_shallow.fppized dycore.fppized flux_avg.fppized gw_drag.fppized infnan.fppized iondrag.fppized ionosphere.fppized macrop_driver.fppized majorsp_diffusion.fppized metdata.fppized microp_driver.fppized mpishorthand.fppized ncdio_atm.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized phys_gmean.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio. fppized polar_avg.fppized ppgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized rad_constituents.fppized rad_solar_var.fppized radheat.fppized radiation.fppized rayleigh_friction.fppized ref_pres.fppized scamMod.fppized shr_kind_mod.fppized solar_data.fppized spmd_utils.fppized sslt_rebin.fppized startup_initialconds.fppized stratiform.fppized time_manager.fppized tracers.fppized tropopause.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), pio.fppized): pio.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized pio_kinds.fppized pio_types.fppized piodarray.fppized piolib_mod.fppized pionfatt_mod.fppized pionfget_mod.fppized pionfput_mod.fppized) $(addsuffix $(OBJ), pio_kinds.fppized): pio_kinds.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) ) $(addsuffix $(OBJ), pio_mpi_utils.fppized): pio_mpi_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), pio_msg_callbacks.fppized): pio_msg_callbacks.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized piolib_mod.fppized) $(addsuffix $(OBJ), pio_msg_getput_callbacks.fppized): pio_msg_getput_callbacks.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized) $(addsuffix $(OBJ), pio_msg_mod.fppized): pio_msg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), pio_nf_utils.fppized): pio_nf_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pionfget_mod.fppized pionfput_mod.fppized) $(addsuffix $(OBJ), pio_quicksort.fppized): pio_quicksort.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_spmd_utils.fppized): pio_spmd_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized) $(addsuffix $(OBJ), pio_support.fppized): pio_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_types.fppized): pio_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_utils.fppized): pio_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), piodarray.fppized): piodarray.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized iompi_mod.fppized perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pionfread_mod.fppized pionfwrite_mod.fppized rearrange.fppized) $(addsuffix $(OBJ), piolib_mod.fppized): piolib_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized calcdecomp.fppized calcdisplace_mod.fppized iompi_mod.fppized ionf_mod.fppized perf_mod.fppized pio_kinds.fppized pio_mpi_utils.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pionfread_mod.fppized pionfwrite_mod.fppized rearrange.fppized) $(addsuffix $(OBJ), pionfatt_mod.fppized): pionfatt_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfget_mod.fppized): pionfget_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfput_mod.fppized): pionfput_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfread_mod.fppized): pionfread_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfwrite_mod.fppized): pionfwrite_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized nf_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pkez.fppized): pkez.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), pkg_cld_sediment.fppized): pkg_cld_sediment.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cldwat.fppized mpishorthand.fppized namelist_utils.fppized physconst.fppized pkg_cldoptics.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), pkg_cldoptics.fppized): pkg_cldoptics.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_control.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), pmgrid.fppized): pmgrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) decompmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), polar_avg.fppized): polar_avg.fppized.f90 $(addsuffix $(OBJ),$(basename ) dycore.fppized dyn_grid.fppized mpishorthand.fppized phys_grid.fppized ppgrid.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), prescribed_aero.fppized): prescribed_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), prescribed_ghg.fppized): prescribed_ghg.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), prescribed_ozone.fppized): prescribed_ozone.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), prescribed_volcaero.fppized): prescribed_volcaero.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized tropopause.fppized units.fppized) $(addsuffix $(OBJ), progseasalts_intr.fppized): progseasalts_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized constituents.fppized drydep_mod.fppized dust_sediment_mod.fppized mo_constants.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized wetdep.fppized wv_saturation.fppized) $(addsuffix $(OBJ), puminterfaces.fppized): puminterfaces.fppized.f90 $(addsuffix $(OBJ),$(basename ) decompmodule.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), qneg3.fppized): qneg3.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), qneg4.fppized): qneg4.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), quicksort.fppized): quicksort.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), rad_constituents.fppized): rad_constituents.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_prop.fppized physconst.fppized physics_types.fppized ppgrid.fppized radconstants.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), rad_solar_var.fppized): rad_solar_var.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized mo_util.fppized radconstants.fppized shr_kind_mod.fppized solar_data.fppized) $(addsuffix $(OBJ), radae.fppized): radae.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized filenames.fppized infnan.fppized ioFileMod.fppized phys_control.fppized pio.fppized pmgrid.fppized ppgrid.fppized radconstants.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), radconstants.fppized): radconstants.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), radheat.fppized): radheat.fppized.f90 $(addsuffix $(OBJ),$(basename ) chemistry.fppized phys_buffer.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), radiation.fppized): radiation.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aer_rad_props.fppized cam_control_mod.fppized cam_history.fppized cam_history_support.fppized cam_logfile.fppized camsrfexch_types.fppized cosp_share.fppized cospsimulator_intr.fppized error_messages.fppized interpolate_data.fppized param_cldoptics.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized pspect.fppized rad_constituents.fppized radae.fppized radconstants.fppized radheat.fppized radiation_data.fppized radlw.fppized radsw.fppized scamMod.fppized shr_kind_mod.fppized shr_orb_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), radiation_data.fppized): radiation_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized camsrfexch_types.fppized constituents.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), radlw.fppized): radlw.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized phys_control.fppized ppgrid.fppized quicksort.fppized radae.fppized radconstants.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), radsw.fppized): radsw.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cmparray_mod.fppized phys_control.fppized ppgrid.fppized quicksort.fppized rad_solar_var.fppized radconstants.fppized scamMod.fppized shr_kind_mod.fppized solar_data.fppized) $(addsuffix $(OBJ), rayleigh_friction.fppized): rayleigh_friction.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), readinitial.fppized): readinitial.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized pio.fppized pmgrid.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), rearrange.fppized): rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) box_rearrange.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), redistributemodule.fppized): redistributemodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) debugutilitiesmodule.fppized decompmodule.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ref_pres.fppized): ref_pres.fppized.f90 $(addsuffix $(OBJ),$(basename ) dyn_grid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), repro_sum_mod.fppized): repro_sum_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), restart_dynamics.fppized): restart_dynamics.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized constituents.fppized dyn_comp.fppized dyn_grid.fppized dyn_internal_state.fppized dynamics_vars.fppized fv_control_mod.fppized hycoef.fppized metdata.fppized pio.fppized pmgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), restart_physics.fppized): restart_physics.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized buffer.fppized cam_control_mod.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized chemistry.fppized co2_cycle.fppized comsrf.fppized constituents.fppized cospsimulator_intr.fppized dyn_grid.fppized ioFileMod.fppized phys_buffer.fppized phys_grid.fppized pio.fppized ppgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized radae.fppized radiation.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), rgrid.fppized): rgrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) infnan.fppized pmgrid.fppized pspect.fppized) $(addsuffix $(OBJ), runtime_opts.fppized): runtime_opts.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerodep_flx.fppized aircraft_emit.fppized aoa_tracers.fppized cam3_aero_data.fppized cam3_ozone_data.fppized cam_control_mod.fppized cam_diagnostics.fppized cam_history.fppized cam_logfile.fppized cam_restart.fppized check_energy.fppized chem_surfvals.fppized chemistry.fppized cldwat.fppized cloud_fraction.fppized co2_cycle.fppized constituents.fppized cospsimulator_intr.fppized dycore.fppized filenames.fppized gw_drag.fppized hk_conv.fppized iondrag.fppized metdata.fppized modal_aer_opt.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized phys_grid.fppized physconst.fppized pkg_cld_sediment.fppized pmgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized pspect.fppized rad_constituents.fppized radheat.fppized radiation.fppized radiation_data.fppized rayleigh_friction. fppized repro_sum_mod.fppized rgrid.fppized sat_hist.fppized scamMod.fppized shr_kind_mod.fppized shr_string_mod.fppized solar_data.fppized spmd_utils.fppized time_manager.fppized tracers.fppized tropopause.fppized units.fppized uwshcu.fppized zm_conv.fppized) $(addsuffix $(OBJ), sat_hist.fppized): sat_hist.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized dyn_grid.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_grid.fppized physconst.fppized pio.fppized pionfwrite_mod.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), scamMod.fppized): scamMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized pmgrid.fppized shr_kind_mod.fppized shr_scam_mod.fppized wrap_nf.fppized) $(addsuffix $(OBJ), scyc.fppized): scyc.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized) $(addsuffix $(OBJ), seq_avdata_mod.fppized): seq_avdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), seq_cdata_mod.fppized): seq_cdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_comm_mct.fppized): seq_comm_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized shr_file_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_diag_mct.fppized): seq_diag_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_domain_mct.fppized): seq_domain_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_iceocn_mct.fppized map_snoglc_mct.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_drydep_mod.fppized): seq_drydep_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flds_indices.fppized): seq_flds_indices.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flds_mod.fppized): seq_flds_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flux_mct.fppized): seq_flux_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized shr_flux_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_orb_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_frac_mct.fppized): seq_frac_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_iceocn_mct.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_hist_mod.fppized): seq_hist_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_infodata_mod.fppized): seq_infodata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) seq_comm_mct.fppized seq_io_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_io_mod.fppized): seq_io_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized seq_comm_mct.fppized seq_flds_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_map_mod.fppized): seq_map_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_comm_mct.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_rearr_mod.fppized): seq_rearr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_flds_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_rest_mod.fppized): seq_rest_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_timemgr_mod.fppized): seq_timemgr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized seq_comm_mct.fppized seq_io_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), sgexx.fppized): sgexx.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_cal_mod.fppized): shr_cal_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_const_mod.fppized): shr_const_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_dmodel_mod.fppized): shr_dmodel_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized perf_mod.fppized pio.fppized seq_flds_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_map_mod.fppized shr_mpi_mod.fppized shr_ncread_mod.fppized shr_stream_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_file_mod.fppized): shr_file_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_flux_mod.fppized): shr_flux_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_control.fppized physconst.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_infnan_mod.fppized): shr_infnan_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_log_mod.fppized): shr_log_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_map_mod.fppized): shr_map_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized shr_timer_mod.fppized) $(addsuffix $(OBJ), shr_mct_mod.fppized): shr_mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_pcdf_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_mem_mod.fppized): shr_mem_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), shr_mpi_mod.fppized): shr_mpi_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), shr_msg_mod.fppized): shr_msg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_file_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_ncread_mod.fppized): shr_ncread_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_orb_mod.fppized): shr_orb_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_pcdf_mod.fppized): shr_pcdf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_scam_mod.fppized): shr_scam_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_ncread_mod.fppized shr_strdata_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_strdata_mod.fppized): shr_strdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized perf_mod.fppized pio.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_map_mod.fppized shr_mct_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_stream_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized shr_tInterp_mod.fppized) $(addsuffix $(OBJ), shr_stream_mod.fppized): shr_stream_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_string_mod.fppized): shr_string_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized shr_timer_mod.fppized) $(addsuffix $(OBJ), shr_sys_mod.fppized): shr_sys_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized) $(addsuffix $(OBJ), shr_tInterp_mod.fppized): shr_tInterp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_cal_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_orb_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_timer_mod.fppized): shr_timer_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_vmath_mod.fppized): shr_vmath_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), solar_data.fppized): solar_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized infnan.fppized ioFileMod.fppized namelist_utils.fppized physconst.fppized pio.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), spmd_dyn.fppized): spmd_dyn.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized decompmodule.fppized fv_control_mod.fppized ghostmodule.fppized infnan.fppized mpishorthand.fppized namelist_utils.fppized parutilitiesmodule.fppized pmgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), spmd_utils.fppized): spmd_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized shr_kind_mod.fppized units.fppized) $(addsuffix $(OBJ), srchutil.fppized): srchutil.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), srfxfer.fppized): srfxfer.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized cam_history.fppized camsrfexch_types.fppized chem_surfvals.fppized co2_cycle.fppized comsrf.fppized constituents.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), sslt_rebin.fppized): sslt_rebin.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized phys_buffer.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), startup_initialconds.fppized): startup_initialconds.fppized.f90 $(addsuffix $(OBJ),$(basename ) buffer.fppized cam_pio_utils.fppized comsrf.fppized dyn_comp.fppized filenames.fppized history_defaults.fppized inidat.fppized ioFileMod.fppized phys_buffer.fppized pio.fppized radae.fppized readinitial.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), stepon.fppized): stepon.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized advect_tend.fppized cam_control_mod.fppized cam_logfile.fppized camsrfexch_types.fppized commap.fppized constituents.fppized dp_coupling.fppized dyn_comp.fppized dyn_internal_state.fppized dynamics_vars.fppized fv_control_mod.fppized fv_prints.fppized hycoef.fppized mpishorthand.fppized perf_mod.fppized phys_buffer.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), stratiform.fppized): stratiform.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized chemistry.fppized cldwat.fppized cldwat2m_macro.fppized cldwat2m_micro.fppized cloud_fraction.fppized constituents.fppized convect_shallow.fppized dycore.fppized microp_aero.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized physics_types.fppized pkg_cld_sediment.fppized pkg_cldoptics.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), sulchem.fppized): sulchem.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized perf_mod.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), sw_core.fppized): sw_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized pft_module.fppized shr_kind_mod.fppized tp_core.fppized) $(addsuffix $(OBJ), te_map.fppized): te_map.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized mapz_module.fppized mod_comm.fppized phys_control.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), tidal_diag.fppized): tidal_diag.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized physics_types.fppized ppgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), time_manager.fppized): time_manager.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized abortutils.fppized cam_logfile.fppized dycore.fppized mpishorthand.fppized pio.fppized shr_cal_mod.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized) $(addsuffix $(OBJ), time_utils.fppized): time_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), tp_core.fppized): tp_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), tphysac.fppized): tphysac.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerosol_intr.fppized aoa_tracers.fppized cam_control_mod.fppized cam_diagnostics.fppized cam_logfile.fppized camsrfexch_types.fppized check_energy.fppized chemistry.fppized constituents.fppized dycore.fppized flux_avg.fppized gw_drag.fppized iondrag.fppized ionosphere.fppized majorsp_diffusion.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized rayleigh_friction.fppized shr_kind_mod.fppized time_manager.fppized tracers.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), tphysbc.fppized): tphysbc.fppized.f90 $(addsuffix $(OBJ),$(basename ) aerosol_intr.fppized cam_diagnostics.fppized cam_history.fppized camsrfexch_types.fppized check_energy.fppized cloud_diagnostics.fppized constituents.fppized convect_deep.fppized convect_shallow.fppized dycore.fppized macrop_driver.fppized microp_driver.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized radiation.fppized shr_kind_mod.fppized sslt_rebin.fppized stratiform.fppized time_manager.fppized tropopause.fppized) $(addsuffix $(OBJ), tphysidl.fppized): tphysidl.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized check_energy.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), trac2d.fppized): trac2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized dynamics_vars.fppized fill_module.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized tp_core.fppized) $(addsuffix $(OBJ), tracer_data.fppized): tracer_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_logfile.fppized cam_pio_utils.fppized dycore.fppized dyn_grid.fppized horizontal_interpolate.fppized interpolate_data.fppized ioFileMod.fppized mo_constants.fppized mo_util.fppized mpishorthand.fppized perf_mod.fppized phys_buffer.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio.fppized polar_avg.fppized ppgrid.fppized ref_pres.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), tracers.fppized): tracers.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized constituents.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized tracers_suite.fppized) $(addsuffix $(OBJ), tracers_suite.fppized): tracers_suite.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), trb_mtn_stress.fppized): trb_mtn_stress.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), tropopause.fppized): tropopause.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized dyn_grid.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), tsinti.fppized): tsinti.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), units.fppized): units.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized shr_file_mod.fppized) $(addsuffix $(OBJ), upper_bc.fppized): upper_bc.fppized.f90 $(addsuffix $(OBJ),$(basename ) physics_types.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), uv3s_update.fppized): uv3s_update.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), uw_conv.fppized): uw_conv.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized error_function.fppized) $(addsuffix $(OBJ), uwshcu.fppized): uwshcu.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized error_function.fppized mpishorthand.fppized namelist_utils.fppized ppgrid.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), vertical_diffusion.fppized): vertical_diffusion.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized diffusion_solver.fppized eddy_diff.fppized geopotential.fppized hb_diff.fppized infnan.fppized molec_diff.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized trb_mtn_stress.fppized wv_saturation.fppized) $(addsuffix $(OBJ), virtem.fppized): virtem.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), vrtmap.fppized): vrtmap.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized srchutil.fppized) $(addsuffix $(OBJ), wetdep.fppized): wetdep.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized phys_control.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), wrap_mpi.fppized): wrap_mpi.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized perf_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), wrap_nf.fppized): wrap_nf.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), wrf_error_fatal.fppized): wrf_error_fatal.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_sys_mod.fppized) $(addsuffix $(OBJ), wv_saturation.fppized): wv_saturation.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), xpavg_mod.fppized): xpavg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), zenith.fppized): zenith.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized shr_kind_mod.fppized shr_orb_mod.fppized) $(addsuffix $(OBJ), zm_conv.fppized): zm_conv.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cldwat.fppized constituents.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_control.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), zm_conv_intr.fppized): zm_conv_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized check_energy.fppized constituents.fppized error_messages.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized zm_conv.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/build/build_peak_x86_64-m64.0000/Makefile.cam4_validate_627.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename array_lib.f90)): array_lib.f90 $(addsuffix $(OBJ),$(basename mrgrnk.f90) ) $(addsuffix $(OBJ), $(basename dsd.f90)): dsd.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 math_lib.f90) ) $(addsuffix $(OBJ), $(basename format_input.f90)): format_input.f90 $(addsuffix $(OBJ),$(basename array_lib.f90) ) $(addsuffix $(OBJ), $(basename hirsbt.f90)): hirsbt.f90 $(addsuffix $(OBJ),$(basename hirsbtpar.f90) physconst.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), $(basename load_hydrometeor_classes.f90)): load_hydrometeor_classes.f90 $(addsuffix $(OBJ),$(basename radar_simulator_types.f90) ) $(addsuffix $(OBJ), $(basename math_lib.f90)): math_lib.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 mrgrnk.f90) ) $(addsuffix $(OBJ), $(basename netcdf/netcdf.f90)): netcdf/netcdf.f90 $(addsuffix $(OBJ),$(basename netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), $(basename netcdf/netcdf_test.f90)): netcdf/netcdf_test.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90 netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), $(basename radar_simulator.f90)): radar_simulator.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 math_lib.f90 mrgrnk.f90 optics_lib.f90 radar_simulator_types.f90) ) $(addsuffix $(OBJ), $(basename validate-cam.f90)): validate-cam.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) ) $(addsuffix $(OBJ), $(basename zeff.f90)): zeff.f90 $(addsuffix $(OBJ),$(basename math_lib.f90 optics_lib.f90) ) $(addsuffix $(OBJ), ESMF_AlarmClockMod.fppized): ESMF_AlarmClockMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_ClockMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_AlarmMod.fppized): ESMF_AlarmMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_BaseTimeMod.fppized): ESMF_BaseTimeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized) $(addsuffix $(OBJ), ESMF_CalendarMod.fppized): ESMF_CalendarMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized) $(addsuffix $(OBJ), ESMF_ClockMod.fppized): ESMF_ClockMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_Mod.fppized): ESMF_Mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmClockMod.fppized ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_ClockMod.fppized ESMF_FractionMod.fppized ESMF_Stubs.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_Stubs.fppized): ESMF_Stubs.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_CalendarMod.fppized) $(addsuffix $(OBJ), ESMF_TimeIntervalMod.fppized): ESMF_TimeIntervalMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_FractionMod.fppized) $(addsuffix $(OBJ), ESMF_TimeMod.fppized): ESMF_TimeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_Stubs.fppized ESMF_TimeIntervalMod.fppized) $(addsuffix $(OBJ), FVperf_module.fppized): FVperf_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mod_comm.fppized perf_mod.fppized) $(addsuffix $(OBJ), Meat.fppized): Meat.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_ClockMod.fppized ESMF_FractionMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), abortutils.fppized): abortutils.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized mpishorthand.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), advect_tend.fppized): advect_tend.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized dynamics_vars.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), advnce.fppized): advnce.fppized.f90 $(addsuffix $(OBJ),$(basename ) aerodep_flx.fppized aircraft_emit.fppized aoa_tracers.fppized cam3_aero_data.fppized cam3_ozone_data.fppized camsrfexch_types.fppized chem_surfvals.fppized chemistry.fppized ghg_data.fppized iondrag.fppized perf_mod.fppized phys_buffer.fppized physics_types.fppized ppgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized radheat.fppized radiation.fppized shr_kind_mod.fppized solar_data.fppized tracers.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), aer_rad_props.fppized): aer_rad_props.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized constituents.fppized modal_aer_opt.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized radconstants.fppized shr_kind_mod.fppized wv_saturation.fppized) $(addsuffix $(OBJ), aerodep_flx.fppized): aerodep_flx.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized camsrfexch_types.fppized modal_aero_deposition.fppized mpishorthand.fppized namelist_utils.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), aerosol_intr.fppized): aerosol_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized camsrfexch_types.fppized constituents.fppized drydep_mod.fppized dust_intr.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized progseasalts_intr.fppized scyc.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized wetdep.fppized) $(addsuffix $(OBJ), aircraft_emit.fppized): aircraft_emit.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), alloc_mod.fppized): alloc_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), aoa_tracers.fppized): aoa_tracers.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized dycore.fppized dyn_grid.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), atm_comp_mct.fppized): atm_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized abortutils.fppized cam_comp.fppized cam_control_mod.fppized cam_cpl_indices.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized cam_restart.fppized camsrfexch_types.fppized co2_cycle.fppized constituents.fppized dust_intr.fppized dyn_grid.fppized filenames.fppized ioFileMod.fppized mct_mod.fppized mpishorthand.fppized perf_mod.fppized phys_control.fppized phys_grid.fppized physconst.fppized pio.fppized pmgrid.fppized ppgrid.fppized radiation.fppized runtime_opts.fppized scamMod.fppized seq_cdata_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), benergy.fppized): benergy.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized mod_comm.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), binary_io.fppized): binary_io.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized pmgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized) $(addsuffix $(OBJ), bnddyi.fppized): bnddyi.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), boundarydata.fppized): boundarydata.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized error_messages.fppized infnan.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized physconst.fppized physics_types.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), box_rearrange.fppized): box_rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized calcdisplace_mod.fppized pio_kinds.fppized pio_spmd_utils.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), buffer.fppized): buffer.fppized.f90 $(addsuffix $(OBJ),$(basename ) constituents.fppized infnan.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), calcdecomp.fppized): calcdecomp.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), calcdisplace_mod.fppized): calcdisplace_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized) $(addsuffix $(OBJ), cam3_aero_data.fppized): cam3_aero_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized boundarydata.fppized cam_logfile.fppized error_messages.fppized infnan.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized shr_scam_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), cam3_ozone_data.fppized): cam3_ozone_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized boundarydata.fppized cam_history.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), cam_comp.fppized): cam_comp.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized cam_restart.fppized camsrfexch_types.fppized dycore.fppized dyn_comp.fppized history_defaults.fppized history_scam.fppized infnan.fppized inital.fppized metdata.fppized mpishorthand.fppized perf_mod.fppized phys_buffer.fppized physics_types.fppized physpkg.fppized pmgrid.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized startup_initialconds.fppized stepon.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), cam_control_mod.fppized): cam_control_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), cam_cpl_indices.fppized): cam_cpl_indices.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized) $(addsuffix $(OBJ), cam_diagnostics.fppized): cam_diagnostics.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized buffer.fppized cam_control_mod.fppized cam_history.fppized camsrfexch_types.fppized check_energy.fppized chemistry.fppized co2_cycle.fppized constituent_burden.fppized constituents.fppized dycore.fppized infnan.fppized interpolate_data.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized tidal_diag.fppized time_manager.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cam_history.fppized): cam_history.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history_buffers.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized chem_surfvals.fppized cosp_share.fppized dycore.fppized dyn_grid.fppized filenames.fppized hycoef.fppized interp_mod.fppized ioFileMod.fppized perf_mod.fppized phys_grid.fppized physconst.fppized pio.fppized pmgrid.fppized ppgrid.fppized pspect.fppized rgrid.fppized sat_hist.fppized scamMod.fppized shr_kind_mod.fppized shr_sys_mod.fppized solar_data.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), cam_history_buffers.fppized): cam_history_buffers.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history_support.fppized cam_pio_utils.fppized dyn_grid.fppized phys_grid.fppized physconst.fppized pio.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), cam_history_support.fppized): cam_history_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized pio.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), cam_pio_utils.fppized): cam_pio_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history_support.fppized cam_logfile.fppized dycore.fppized dyn_grid.fppized perf_mod.fppized phys_grid.fppized pio.fppized pio_support.fppized ppgrid.fppized seq_io_mod.fppized shr_kind_mod.fppized shr_string_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), cam_restart.fppized): cam_restart.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized chem_surfvals.fppized dycore.fppized dyn_comp.fppized filenames.fppized infnan.fppized ioFileMod.fppized mpishorthand.fppized phys_grid.fppized pio.fppized pmgrid.fppized ppgrid.fppized radiation.fppized ref_pres.fppized restart_dynamics.fppized restart_physics.fppized rgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), camsrfexch_types.fppized): camsrfexch_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized infnan.fppized phys_grid.fppized ppgrid.fppized seq_drydep_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ccsm_comp_mod.fppized): ccsm_comp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized atm_comp_mct.fppized glc_comp_mct.fppized ice_comp_mct.fppized lnd_comp_mct.fppized map_atmatm_mct.fppized map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_glcglc_mct.fppized map_iceice_mct.fppized map_iceocn_mct.fppized map_lndlnd_mct.fppized map_ocnocn_mct.fppized map_rofocn_mct.fppized map_rofrof_mct.fppized map_snoglc_mct.fppized map_snosno_mct.fppized mct_mod.fppized mrg_x2a_mct.fppized mrg_x2g_mct.fppized mrg_x2i_mct.fppized mrg_x2l_mct.fppized mrg_x2o_mct.fppized mrg_x2s_mct.fppized ocn_comp_mct.fppized perf_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_domain_mct.fppized seq_flds_indices.fppized seq_flux_mct.fppized seq_frac_mct.fppized seq_hist_mod.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_rest_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fp pized shr_kind_mod.fppized shr_map_mod.fppized shr_mem_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_scam_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), ccsm_driver.fppized): ccsm_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized ccsm_comp_mod.fppized perf_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), cd_core.fppized): cd_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized abortutils.fppized cam_logfile.fppized dynamics_vars.fppized fv_control_mod.fppized metdata.fppized mod_comm.fppized mpishorthand.fppized pft_module.fppized shr_kind_mod.fppized spmd_utils.fppized sw_core.fppized) $(addsuffix $(OBJ), check_energy.fppized): check_energy.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized phys_buffer.fppized phys_gmean.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), chem_surfvals.fppized): chem_surfvals.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized error_messages.fppized infnan.fppized interpolate_data.fppized ioFileMod.fppized m_types.fppized mo_flbc.fppized mpishorthand.fppized namelist_utils.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), chemistry.fppized): chemistry.fppized.f90 $(addsuffix $(OBJ),$(basename ) camsrfexch_types.fppized phys_buffer.fppized physics_types.fppized pio.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), cldsav.fppized): cldsav.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), cldwat.fppized): cldwat.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_logfile.fppized comsrf.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_control.fppized phys_grid.fppized pmgrid.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cldwat2m_macro.fppized): cldwat2m_macro.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cloud_fraction.fppized constituents.fppized phys_debug_util.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cldwat2m_micro.fppized): cldwat2m_micro.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized cldwat2m_macro.fppized phys_control.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cloud_diagnostics.fppized): cloud_diagnostics.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_buffer.fppized physics_types.fppized) $(addsuffix $(OBJ), cloud_fraction.fppized): cloud_fraction.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized chemistry.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cloud_rad_props.fppized): cloud_rad_props.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_buffer.fppized physics_types.fppized ppgrid.fppized radconstants.fppized) $(addsuffix $(OBJ), cmparray_mod.fppized): cmparray_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), co2_cycle.fppized): co2_cycle.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized chem_surfvals.fppized co2_data_flux.fppized constituents.fppized mpishorthand.fppized namelist_utils.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), co2_data_flux.fppized): co2_data_flux.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized error_messages.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized phys_grid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), commap.fppized): commap.fppized.f90 $(addsuffix $(OBJ),$(basename ) pmgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), comspe.fppized): comspe.fppized.f90 $(addsuffix $(OBJ),$(basename ) pmgrid.fppized pspect.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), comsrf.fppized): comsrf.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized infnan.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), constituent_burden.fppized): constituent_burden.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), constituents.fppized): constituents.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized physconst.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), conv_water.fppized): conv_water.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), convect_deep.fppized): convect_deep.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized gw_drag.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized zm_conv_intr.fppized) $(addsuffix $(OBJ), convect_shallow.fppized): convect_shallow.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized hk_conv.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized uw_conv.fppized uwshcu.fppized wv_saturation.fppized zm_conv.fppized) $(addsuffix $(OBJ), cosp.fppized): cosp.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_modis_simulator.fppized cosp_simulator.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_isccp_simulator.fppized): cosp_isccp_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_lidar.fppized): cosp_lidar.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_misr_simulator.fppized): cosp_misr_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_modis_simulator.fppized): cosp_modis_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized modis_simulator.fppized) $(addsuffix $(OBJ), cosp_radar.fppized): cosp_radar.fppized.f90 $(addsuffix $(OBJ),$(basename array_lib.f90 atmos_lib.f90 format_input.f90 math_lib.f90 mrgrnk.f90 optics_lib.f90 radar_simulator_types.f90) cosp_constants.fppized cosp_types.fppized cosp_utils.fppized) $(addsuffix $(OBJ), cosp_share.fppized): cosp_share.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), cosp_simulator.fppized): cosp_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_isccp_simulator.fppized cosp_lidar.fppized cosp_misr_simulator.fppized cosp_modis_simulator.fppized cosp_radar.fppized cosp_stats.fppized cosp_types.fppized) $(addsuffix $(OBJ), cosp_stats.fppized): cosp_stats.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized cosp_types.fppized llnl_stats.fppized lmd_ipsl_stats.fppized) $(addsuffix $(OBJ), cosp_types.fppized): cosp_types.fppized.f90 $(addsuffix $(OBJ),$(basename radar_simulator_types.f90) cosp_constants.fppized cosp_utils.fppized) $(addsuffix $(OBJ), cosp_utils.fppized): cosp_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized) $(addsuffix $(OBJ), cospsimulator_intr.fppized): cospsimulator_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized cam_pio_utils.fppized camsrfexch_types.fppized cmparray_mod.fppized constituents.fppized cosp.fppized cosp_constants.fppized cosp_modis_simulator.fppized cosp_share.fppized cosp_types.fppized error_messages.fppized interpolate_data.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), cpslec.fppized): cpslec.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ctem.fppized): ctem.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized dynamics_vars.fppized hycoef.fppized interpolate_data.fppized mpishorthand.fppized namelist_utils.fppized parutilitiesmodule.fppized physconst.fppized pmgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), d2a3dijk.fppized): d2a3dijk.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), d2a3dikj.fppized): d2a3dikj.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized perf_mod.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), dadadj.fppized): dadadj.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_logfile.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), debugutilitiesmodule.fppized): debugutilitiesmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized) $(addsuffix $(OBJ), decompmodule.fppized): decompmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized debugutilitiesmodule.fppized) $(addsuffix $(OBJ), diag_dynvar_ic.fppized): diag_dynvar_ic.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized dynamics_vars.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), diag_module.fppized): diag_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mod_comm.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), diffusion_solver.fppized): diffusion_solver.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized phys_control.fppized phys_debug_util.fppized time_manager.fppized) $(addsuffix $(OBJ), dp_coupling.fppized): dp_coupling.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized check_energy.fppized constituents.fppized ctem.fppized dyn_comp.fppized dynamics_vars.fppized geopotential.fppized metdata.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized rgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized spmd_dyn.fppized) $(addsuffix $(OBJ), dryairm.fppized): dryairm.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized cam_logfile.fppized constituents.fppized dynamics_vars.fppized mean_module.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), drydep_mod.fppized): drydep_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), dust_intr.fppized): dust_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerodep_flx.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized constituents.fppized drydep_mod.fppized dust_sediment_mod.fppized error_function.fppized interpolate_data.fppized ioFileMod.fppized mo_constants.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized wetdep.fppized) $(addsuffix $(OBJ), dust_sediment_mod.fppized): dust_sediment_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), dycore.fppized): dycore.fppized.f90 $(addsuffix $(OBJ),$(basename ) pmgrid.fppized) $(addsuffix $(OBJ), dyn_comp.fppized): dyn_comp.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized ctem.fppized diag_module.fppized dycore.fppized dynamics_vars.fppized fv_control_mod.fppized hycoef.fppized infnan.fppized metdata.fppized mod_comm.fppized mpishorthand.fppized parutilitiesmodule.fppized perf_mod.fppized pfixer.fppized phys_control.fppized physconst.fppized pio.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), dyn_grid.fppized): dyn_grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized commap.fppized hycoef.fppized physconst.fppized pmgrid.fppized rgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized) $(addsuffix $(OBJ), dyn_internal_state.fppized): dyn_internal_state.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized) $(addsuffix $(OBJ), dynamics_vars.fppized): dynamics_vars.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized decompmodule.fppized fv_control_mod.fppized ghostmodule.fppized mod_comm.fppized mpishorthand.fppized parutilitiesmodule.fppized pft_module.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), eddy_diff.fppized): eddy_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized diffusion_solver.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized ppgrid.fppized time_manager.fppized) $(addsuffix $(OBJ), epvd.fppized): epvd.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized mapz_module.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), error_messages.fppized): error_messages.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) abortutils.fppized cam_logfile.fppized) $(addsuffix $(OBJ), esinti.fppized): esinti.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized wv_saturation.fppized) $(addsuffix $(OBJ), fft99.fppized): fft99.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), filenames.fppized): filenames.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), fill_module.fppized): fill_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), flux_avg.fppized): flux_avg.fppized.f90 $(addsuffix $(OBJ),$(basename ) camsrfexch_types.fppized phys_buffer.fppized phys_debug.fppized phys_grid.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), fv_control_mod.fppized): fv_control_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), fv_prints.fppized): fv_prints.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized camsrfexch_types.fppized constituents.fppized dynamics_vars.fppized mpishorthand.fppized parutilitiesmodule.fppized perf_mod.fppized phys_gmean.fppized phys_grid.fppized physics_types.fppized ppgrid.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), gauaw_mod.fppized): gauaw_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), geopk.fppized): geopk.fppized.f90 $(addsuffix $(OBJ),$(basename ) decompmodule.fppized dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized spmd_dyn.fppized) $(addsuffix $(OBJ), geopotential.fppized): geopotential.fppized.f90 $(addsuffix $(OBJ),$(basename ) dycore.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), gffgch.fppized): gffgch.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized physconst.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ghg_data.fppized): ghg_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized chem_surfvals.fppized error_messages.fppized phys_buffer.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ghostmodule.fppized): ghostmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) debugutilitiesmodule.fppized decompmodule.fppized) $(addsuffix $(OBJ), glc_comp_mct.fppized): glc_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), gw_drag.fppized): gw_drag.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_control.fppized phys_grid.fppized physics_types.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), hb_diff.fppized): hb_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized phys_debug.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), history_defaults.fppized): history_defaults.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized dycore.fppized phys_control.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), history_scam.fppized): history_scam.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized pmgrid.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), hk_conv.fppized): hk_conv.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), horizontal_interpolate.fppized): horizontal_interpolate.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized scamMod.fppized shr_const_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), hycoef.fppized): hycoef.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized pio.fppized pmgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), ice_comp_mct.fppized): ice_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), infnan.fppized): infnan.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), inidat.fppized): inidat.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerosol_intr.fppized aoa_tracers.fppized cam_control_mod.fppized cam_history_support.fppized cam_logfile.fppized chemistry.fppized co2_cycle.fppized commap.fppized constituents.fppized dyn_comp.fppized dyn_grid.fppized dyn_internal_state.fppized dynamics_vars.fppized fv_control_mod.fppized microp_driver.fppized mod_comm.fppized mpishorthand.fppized ncdio_atm.fppized phys_control.fppized phys_grid.fppized physconst.fppized pio.fppized pmgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized stratiform.fppized tracers.fppized) $(addsuffix $(OBJ), inital.fppized): inital.fppized.f90 $(addsuffix $(OBJ),$(basename ) chem_surfvals.fppized dyn_comp.fppized dyn_internal_state.fppized dynamics_vars.fppized phys_grid.fppized ref_pres.fppized shr_kind_mod.fppized startup_initialconds.fppized) $(addsuffix $(OBJ), initcom.fppized): initcom.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized commap.fppized physconst.fppized pmgrid.fppized pspect.fppized rgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), initindx.fppized): initindx.fppized.f90 $(addsuffix $(OBJ),$(basename ) aerosol_intr.fppized aircraft_emit.fppized aoa_tracers.fppized cam3_aero_data.fppized cam3_ozone_data.fppized cam_control_mod.fppized cam_diagnostics.fppized check_energy.fppized chemistry.fppized co2_cycle.fppized constituents.fppized conv_water.fppized convect_deep.fppized convect_shallow.fppized flux_avg.fppized ghg_data.fppized gw_drag.fppized iondrag.fppized ionosphere.fppized macrop_driver.fppized microp_driver.fppized phys_buffer.fppized phys_control.fppized physconst.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized radiation.fppized shr_kind_mod.fppized spmd_utils.fppized sslt_rebin.fppized stratiform.fppized string_utils.fppized tracers.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), interp_mod.fppized): interp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized pio.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), interpolate_data.fppized): interpolate_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), intp_util.fppized): intp_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized phys_grid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ioFileMod.fppized): ioFileMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), iompi_mod.fppized): iompi_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), iondrag.fppized): iondrag.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized phys_buffer.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ionf_mod.fppized): ionf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), ionosphere.fppized): ionosphere.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized phys_buffer.fppized physics_types.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), iop_surf.fppized): iop_surf.fppized.f90 $(addsuffix $(OBJ),$(basename ) camsrfexch_types.fppized physconst.fppized ppgrid.fppized scamMod.fppized) $(addsuffix $(OBJ), llnl_stats.fppized): llnl_stats.fppized.f90 $(addsuffix $(OBJ),$(basename ) cosp_constants.fppized) $(addsuffix $(OBJ), lmd_ipsl_stats.fppized): lmd_ipsl_stats.fppized.f90 $(addsuffix $(OBJ),$(basename ) llnl_stats.fppized) $(addsuffix $(OBJ), lnd_comp_mct.fppized): lnd_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), m_Accumulator.fppized): m_Accumulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_die.fppized m_mall.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AccumulatorComms.fppized): m_AccumulatorComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_Accumulator.fppized m_AttrVect.fppized m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVect.fppized): m_AttrVect.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_List.fppized m_SortingTools.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_mall.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVectComms.fppized): m_AttrVectComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_FcComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVectReduce.fppized): m_AttrVectReduce.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ConvertMaps.fppized): m_ConvertMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalMap.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_SortingTools.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ExchangeMaps.fppized): m_ExchangeMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_ConvertMaps.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_FcComms.fppized): m_FcComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mpif90.fppized m_realkinds.fppized) $(addsuffix $(OBJ), m_FileResolv.fppized): m_FileResolv.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_StrTemplate.fppized m_die.fppized) $(addsuffix $(OBJ), m_GeneralGrid.fppized): m_GeneralGrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GeneralGridComms.fppized): m_GeneralGridComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVectComms.fppized m_GeneralGrid.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalMap.fppized): m_GlobalMap.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalSegMap.fppized): m_GlobalSegMap.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_FcComms.fppized m_MCTWorld.fppized m_SortingTools.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalSegMapComms.fppized): m_GlobalSegMapComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalToLocal.fppized): m_GlobalToLocal.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalMap.fppized m_GlobalSegMap.fppized m_Navigator.fppized m_SparseMatrix.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_char.fppized): m_IndexBin_char.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_integer.fppized): m_IndexBin_integer.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_logical.fppized): m_IndexBin_logical.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_List.fppized): m_List.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_String.fppized m_die.fppized m_mall.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MCTWorld.fppized): m_MCTWorld.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_List.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MatAttrVectMul.fppized): m_MatAttrVectMul.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_Rearranger.fppized m_SparseMatrix.fppized m_SparseMatrixPlus.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Merge.fppized): m_Merge.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_GeneralGrid.fppized m_List.fppized m_String.fppized m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MergeSorts.fppized): m_MergeSorts.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Navigator.fppized): m_Navigator.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mall.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Permuter.fppized): m_Permuter.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_realkinds.fppized) $(addsuffix $(OBJ), m_Rearranger.fppized): m_Rearranger.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_Router.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Router.fppized): m_Router.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_ExchangeMaps.fppized m_GlobalSegMap.fppized m_GlobalToLocal.fppized m_MCTWorld.fppized m_MergeSorts.fppized m_Permuter.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SortingTools.fppized): m_SortingTools.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_IndexBin_char.fppized m_IndexBin_integer.fppized m_IndexBin_logical.fppized m_MergeSorts.fppized m_Permuter.fppized m_rankMerge.fppized) $(addsuffix $(OBJ), m_SparseMatrix.fppized): m_SparseMatrix.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixComms.fppized): m_SparseMatrixComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_SparseMatrixDecomp.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixDecomp.fppized): m_SparseMatrixDecomp.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_die.fppized) $(addsuffix $(OBJ), m_SparseMatrixPlus.fppized): m_SparseMatrixPlus.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_GlobalToLocal.fppized m_List.fppized m_Rearranger.fppized m_SparseMatrix.fppized m_SparseMatrixComms.fppized m_SparseMatrixToMaps.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixToMaps.fppized): m_SparseMatrixToMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SpatialIntegral.fppized): m_SpatialIntegral.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectReduce.fppized m_GeneralGrid.fppized m_List.fppized m_SpatialIntegralV.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SpatialIntegralV.fppized): m_SpatialIntegralV.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectReduce.fppized m_List.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_StrTemplate.fppized): m_StrTemplate.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_String.fppized): m_String.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mall.fppized m_mpif90.fppized) $(addsuffix $(OBJ), m_TraceBack.fppized): m_TraceBack.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_String.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Transfer.fppized): m_Transfer.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_MCTWorld.fppized m_Router.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_die.fppized): m_die.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_dropdead.fppized m_flow.fppized m_mpif90.fppized m_mpout.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_dropdead.fppized): m_dropdead.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_flow.fppized): m_flow.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized) $(addsuffix $(OBJ), m_inpak90.fppized): m_inpak90.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_ioutil.fppized m_mall.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ioutil.fppized): m_ioutil.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_stdio.fppized) $(addsuffix $(OBJ), m_mall.fppized): m_mall.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized m_die.fppized m_ioutil.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_mpif90.fppized): m_mpif90.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_mpif.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_mpout.fppized): m_mpout.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_dropdead.fppized m_ioutil.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_rankMerge.fppized): m_rankMerge.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_realkinds.fppized) $(addsuffix $(OBJ), m_zeit.fppized): m_zeit.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_SortingTools.fppized m_die.fppized m_ioutil.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), macrop_driver.fppized): macrop_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized cldwat.fppized cldwat2m_macro.fppized cloud_fraction.fppized constituents.fppized convect_shallow.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), majorsp_diffusion.fppized): majorsp_diffusion.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), map_atmatm_mct.fppized): map_atmatm_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmice_mct.fppized): map_atmice_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_map_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmlnd_mct.fppized): map_atmlnd_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_map_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmocn_mct.fppized): map_atmocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_map_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_glcglc_mct.fppized): map_glcglc_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_iceice_mct.fppized): map_iceice_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_iceocn_mct.fppized): map_iceocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_lndlnd_mct.fppized): map_lndlnd_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_ocnocn_mct.fppized): map_ocnocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_rofocn_mct.fppized): map_rofocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_rofrof_mct.fppized): map_rofrof_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_snoglc_mct.fppized): map_snoglc_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_snosno_mct.fppized): map_snosno_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), mapz_module.fppized): mapz_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), marsaglia.fppized): marsaglia.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), mcshallow.fppized): mcshallow.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized error_function.fppized ppgrid.fppized) $(addsuffix $(OBJ), mct_mod.fppized): mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_Accumulator.fppized m_AttrVect.fppized m_AttrVectComms.fppized m_GeneralGrid.fppized m_GeneralGridComms.fppized m_GlobalSegMap.fppized m_GlobalSegMapComms.fppized m_GlobalToLocal.fppized m_List.fppized m_MCTWorld.fppized m_MatAttrVectMul.fppized m_MergeSorts.fppized m_Permuter.fppized m_Rearranger.fppized m_Router.fppized m_SparseMatrix.fppized m_SparseMatrixComms.fppized m_SparseMatrixPlus.fppized m_SparseMatrixToMaps.fppized m_String.fppized m_Transfer.fppized m_die.fppized m_inpak90.fppized m_stdio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), mct_rearrange.fppized): mct_rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized m_AttrVect.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_Rearranger.fppized pio_kinds.fppized pio_quicksort.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), mean_module.fppized): mean_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized commap.fppized dynamics_vars.fppized parutilitiesmodule.fppized perf_mod.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), metdata.fppized): metdata.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized dynamics_vars.fppized hycoef.fppized infnan.fppized ioFileMod.fppized mod_comm.fppized mpishorthand.fppized ncdio_atm.fppized perf_mod.fppized phys_grid.fppized physics_types.fppized pio.fppized pmgrid.fppized ppgrid.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), microp_aero.fppized): microp_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cldwat2m_macro.fppized constituents.fppized error_function.fppized ndrop.fppized phys_control.fppized physconst.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized spmd_utils.fppized wv_saturation.fppized) $(addsuffix $(OBJ), microp_driver.fppized): microp_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cldwat.fppized cldwat2m_macro.fppized cldwat2m_micro.fppized constituents.fppized conv_water.fppized convect_shallow.fppized dycore.fppized microp_aero.fppized ndrop.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), mo_constants.fppized): mo_constants.fppized.f90 $(addsuffix $(OBJ),$(basename ) physconst.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mo_flbc.fppized): mo_flbc.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized commap.fppized constituents.fppized interpolate_data.fppized ioFileMod.fppized m_types.fppized mo_constants.fppized phys_gmean.fppized phys_grid.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized time_utils.fppized) $(addsuffix $(OBJ), mo_msis_ubc.fppized): mo_msis_ubc.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized phys_grid.fppized physconst.fppized pmgrid.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), mo_regrider.fppized): mo_regrider.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized dycore.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mo_solar_parms.fppized): mo_solar_parms.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized error_messages.fppized ioFileMod.fppized pio.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized time_utils.fppized) $(addsuffix $(OBJ), mo_util.fppized): mo_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), mod_comm.fppized): mod_comm.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized perf_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), modal_aer_opt.fppized): modal_aer_opt.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized constituents.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized rad_constituents.fppized radconstants.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), modal_aero_deposition.fppized): modal_aero_deposition.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized camsrfexch_types.fppized constituents.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), modis_simulator.fppized): modis_simulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cosp_constants.fppized) $(addsuffix $(OBJ), molec_diff.fppized): molec_diff.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized infnan.fppized perf_mod.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized upper_bc.fppized) $(addsuffix $(OBJ), mrg_x2a_mct.fppized): mrg_x2a_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2g_mct.fppized): mrg_x2g_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2i_mct.fppized): mrg_x2i_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2l_mct.fppized): mrg_x2l_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2o_mct.fppized): mrg_x2o_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2s_mct.fppized): mrg_x2s_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), msise00.fppized): msise00.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), namelist_utils.fppized): namelist_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) string_utils.fppized) $(addsuffix $(OBJ), ncdio_atm.fppized): ncdio_atm.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized constituents.fppized dycore.fppized dyn_grid.fppized phys_grid.fppized pio.fppized ppgrid.fppized scamMod.fppized shr_kind_mod.fppized shr_scam_mod.fppized shr_sys_mod.fppized spmd_utils.fppized string_utils.fppized xpavg_mod.fppized) $(addsuffix $(OBJ), ndrop.fppized): ndrop.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized error_function.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized wv_saturation.fppized) $(addsuffix $(OBJ), nf_mod.fppized): nf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), ocn_comp.fppized): ocn_comp.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized ocn_types.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ocn_comp_mct.fppized): ocn_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized ocn_comp.fppized ocn_types.fppized perf_mod.fppized phys_grid.fppized physconst.fppized ppgrid.fppized seq_cdata_mod.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), ocn_types.fppized): ocn_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), p_d_adjust.fppized): p_d_adjust.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized parutilitiesmodule.fppized perf_mod.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), par_vecsum.fppized): par_vecsum.fppized.f90 $(addsuffix $(OBJ),$(basename ) parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), par_xsum.fppized): par_xsum.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized cam_logfile.fppized dynamics_vars.fppized parutilitiesmodule.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), param_cldoptics.fppized): param_cldoptics.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized conv_water.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pkg_cldoptics.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), parutilitiesmodule.fppized): parutilitiesmodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized debugutilitiesmodule.fppized decompmodule.fppized ghostmodule.fppized mod_comm.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), perf_mod.fppized): perf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) namelist_utils.fppized perf_utils.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), pfixer.fppized): pfixer.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized commap.fppized dynamics_vars.fppized hycoef.fppized metdata.fppized mod_comm.fppized mpishorthand.fppized parutilitiesmodule.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), pft_module.fppized): pft_module.fppized.f90 $(addsuffix $(OBJ),$(basename ) fv_control_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), phys_buffer.fppized): phys_buffer.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized dyn_grid.fppized infnan.fppized mpishorthand.fppized phys_grid.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized) $(addsuffix $(OBJ), phys_control.fppized): phys_control.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), phys_debug.fppized): phys_debug.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized camsrfexch_types.fppized constituents.fppized phys_debug_util.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), phys_debug_util.fppized): phys_debug_util.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), phys_gmean.fppized): phys_gmean.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized dycore.fppized dyn_grid.fppized mpishorthand.fppized perf_mod.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized repro_sum_mod.fppized rgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), phys_grid.fppized): phys_grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized dycore.fppized dyn_grid.fppized m_MergeSorts.fppized mod_comm.fppized mpishorthand.fppized perf_mod.fppized physconst.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_dyn.fppized spmd_utils.fppized) $(addsuffix $(OBJ), phys_prop.fppized): phys_prop.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized ioFileMod.fppized pio.fppized radconstants.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), physconst.fppized): physconst.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized ppgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), physics_types.fppized): physics_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized constituents.fppized dycore.fppized geopotential.fppized infnan.fppized phys_control.fppized phys_grid.fppized physconst.fppized pmgrid.fppized ppgrid.fppized scamMod.fppized shr_const_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), physpkg.fppized): physpkg.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aer_rad_props.fppized aerodep_flx.fppized aerosol_intr.fppized aircraft_emit.fppized aoa_tracers.fppized buffer.fppized cam3_aero_data.fppized cam3_ozone_data.fppized cam_control_mod.fppized cam_diagnostics.fppized cam_history.fppized cam_logfile.fppized camsrfexch_types.fppized check_energy.fppized chemistry.fppized cldwat.fppized cloud_diagnostics.fppized cloud_fraction.fppized cloud_rad_props.fppized co2_cycle.fppized comsrf.fppized constituents.fppized conv_water.fppized convect_deep.fppized convect_shallow.fppized dycore.fppized flux_avg.fppized gw_drag.fppized infnan.fppized iondrag.fppized ionosphere.fppized macrop_driver.fppized majorsp_diffusion.fppized metdata.fppized microp_driver.fppized mpishorthand.fppized ncdio_atm.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized phys_gmean.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio. fppized polar_avg.fppized ppgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized rad_constituents.fppized rad_solar_var.fppized radheat.fppized radiation.fppized rayleigh_friction.fppized ref_pres.fppized scamMod.fppized shr_kind_mod.fppized solar_data.fppized spmd_utils.fppized sslt_rebin.fppized startup_initialconds.fppized stratiform.fppized time_manager.fppized tracers.fppized tropopause.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), pio.fppized): pio.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized pio_kinds.fppized pio_types.fppized piodarray.fppized piolib_mod.fppized pionfatt_mod.fppized pionfget_mod.fppized pionfput_mod.fppized) $(addsuffix $(OBJ), pio_kinds.fppized): pio_kinds.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) ) $(addsuffix $(OBJ), pio_mpi_utils.fppized): pio_mpi_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), pio_msg_callbacks.fppized): pio_msg_callbacks.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized piolib_mod.fppized) $(addsuffix $(OBJ), pio_msg_getput_callbacks.fppized): pio_msg_getput_callbacks.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized) $(addsuffix $(OBJ), pio_msg_mod.fppized): pio_msg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), pio_nf_utils.fppized): pio_nf_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pionfget_mod.fppized pionfput_mod.fppized) $(addsuffix $(OBJ), pio_quicksort.fppized): pio_quicksort.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_spmd_utils.fppized): pio_spmd_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized) $(addsuffix $(OBJ), pio_support.fppized): pio_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_types.fppized): pio_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_utils.fppized): pio_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), piodarray.fppized): piodarray.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized iompi_mod.fppized perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pionfread_mod.fppized pionfwrite_mod.fppized rearrange.fppized) $(addsuffix $(OBJ), piolib_mod.fppized): piolib_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized calcdecomp.fppized calcdisplace_mod.fppized iompi_mod.fppized ionf_mod.fppized perf_mod.fppized pio_kinds.fppized pio_mpi_utils.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pionfread_mod.fppized pionfwrite_mod.fppized rearrange.fppized) $(addsuffix $(OBJ), pionfatt_mod.fppized): pionfatt_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfget_mod.fppized): pionfget_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfput_mod.fppized): pionfput_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized perf_mod.fppized pio_kinds.fppized pio_msg_mod.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfread_mod.fppized): pionfread_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfwrite_mod.fppized): pionfwrite_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized nf_mod.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pkez.fppized): pkez.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), pkg_cld_sediment.fppized): pkg_cld_sediment.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cldwat.fppized mpishorthand.fppized namelist_utils.fppized physconst.fppized pkg_cldoptics.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), pkg_cldoptics.fppized): pkg_cldoptics.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_control.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), pmgrid.fppized): pmgrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) decompmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), polar_avg.fppized): polar_avg.fppized.f90 $(addsuffix $(OBJ),$(basename ) dycore.fppized dyn_grid.fppized mpishorthand.fppized phys_grid.fppized ppgrid.fppized repro_sum_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), prescribed_aero.fppized): prescribed_aero.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), prescribed_ghg.fppized): prescribed_ghg.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), prescribed_ozone.fppized): prescribed_ozone.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized units.fppized) $(addsuffix $(OBJ), prescribed_volcaero.fppized): prescribed_volcaero.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized tracer_data.fppized tropopause.fppized units.fppized) $(addsuffix $(OBJ), progseasalts_intr.fppized): progseasalts_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized constituents.fppized drydep_mod.fppized dust_sediment_mod.fppized mo_constants.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized wetdep.fppized wv_saturation.fppized) $(addsuffix $(OBJ), puminterfaces.fppized): puminterfaces.fppized.f90 $(addsuffix $(OBJ),$(basename ) decompmodule.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), qneg3.fppized): qneg3.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), qneg4.fppized): qneg4.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), quicksort.fppized): quicksort.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), rad_constituents.fppized): rad_constituents.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized error_messages.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_prop.fppized physconst.fppized physics_types.fppized ppgrid.fppized radconstants.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), rad_solar_var.fppized): rad_solar_var.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized mo_util.fppized radconstants.fppized shr_kind_mod.fppized solar_data.fppized) $(addsuffix $(OBJ), radae.fppized): radae.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized filenames.fppized infnan.fppized ioFileMod.fppized phys_control.fppized pio.fppized pmgrid.fppized ppgrid.fppized radconstants.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), radconstants.fppized): radconstants.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), radheat.fppized): radheat.fppized.f90 $(addsuffix $(OBJ),$(basename ) chemistry.fppized phys_buffer.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), radiation.fppized): radiation.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aer_rad_props.fppized cam_control_mod.fppized cam_history.fppized cam_history_support.fppized cam_logfile.fppized camsrfexch_types.fppized cosp_share.fppized cospsimulator_intr.fppized error_messages.fppized interpolate_data.fppized param_cldoptics.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized pspect.fppized rad_constituents.fppized radae.fppized radconstants.fppized radheat.fppized radiation_data.fppized radlw.fppized radsw.fppized scamMod.fppized shr_kind_mod.fppized shr_orb_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), radiation_data.fppized): radiation_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized camsrfexch_types.fppized constituents.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized physics_types.fppized ppgrid.fppized rad_constituents.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), radlw.fppized): radlw.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized phys_control.fppized ppgrid.fppized quicksort.fppized radae.fppized radconstants.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), radsw.fppized): radsw.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized cmparray_mod.fppized phys_control.fppized ppgrid.fppized quicksort.fppized rad_solar_var.fppized radconstants.fppized scamMod.fppized shr_kind_mod.fppized solar_data.fppized) $(addsuffix $(OBJ), rayleigh_friction.fppized): rayleigh_friction.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), readinitial.fppized): readinitial.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized pio.fppized pmgrid.fppized scamMod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), rearrange.fppized): rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) box_rearrange.fppized perf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), redistributemodule.fppized): redistributemodule.fppized.f90 $(addsuffix $(OBJ),$(basename ) debugutilitiesmodule.fppized decompmodule.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ref_pres.fppized): ref_pres.fppized.f90 $(addsuffix $(OBJ),$(basename ) dyn_grid.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), repro_sum_mod.fppized): repro_sum_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), restart_dynamics.fppized): restart_dynamics.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized constituents.fppized dyn_comp.fppized dyn_grid.fppized dyn_internal_state.fppized dynamics_vars.fppized fv_control_mod.fppized hycoef.fppized metdata.fppized pio.fppized pmgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), restart_physics.fppized): restart_physics.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized buffer.fppized cam_control_mod.fppized cam_logfile.fppized cam_pio_utils.fppized camsrfexch_types.fppized chemistry.fppized co2_cycle.fppized comsrf.fppized constituents.fppized cospsimulator_intr.fppized dyn_grid.fppized ioFileMod.fppized phys_buffer.fppized phys_grid.fppized pio.fppized ppgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized radae.fppized radiation.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), rgrid.fppized): rgrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) infnan.fppized pmgrid.fppized pspect.fppized) $(addsuffix $(OBJ), runtime_opts.fppized): runtime_opts.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerodep_flx.fppized aircraft_emit.fppized aoa_tracers.fppized cam3_aero_data.fppized cam3_ozone_data.fppized cam_control_mod.fppized cam_diagnostics.fppized cam_history.fppized cam_logfile.fppized cam_restart.fppized check_energy.fppized chem_surfvals.fppized chemistry.fppized cldwat.fppized cloud_fraction.fppized co2_cycle.fppized constituents.fppized cospsimulator_intr.fppized dycore.fppized filenames.fppized gw_drag.fppized hk_conv.fppized iondrag.fppized metdata.fppized modal_aer_opt.fppized mpishorthand.fppized namelist_utils.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized phys_grid.fppized physconst.fppized pkg_cld_sediment.fppized pmgrid.fppized prescribed_aero.fppized prescribed_ghg.fppized prescribed_ozone.fppized prescribed_volcaero.fppized pspect.fppized rad_constituents.fppized radheat.fppized radiation.fppized radiation_data.fppized rayleigh_friction. fppized repro_sum_mod.fppized rgrid.fppized sat_hist.fppized scamMod.fppized shr_kind_mod.fppized shr_string_mod.fppized solar_data.fppized spmd_utils.fppized time_manager.fppized tracers.fppized tropopause.fppized units.fppized uwshcu.fppized zm_conv.fppized) $(addsuffix $(OBJ), sat_hist.fppized): sat_hist.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized dyn_grid.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized phys_grid.fppized physconst.fppized pio.fppized pionfwrite_mod.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), scamMod.fppized): scamMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized constituents.fppized pmgrid.fppized shr_kind_mod.fppized shr_scam_mod.fppized wrap_nf.fppized) $(addsuffix $(OBJ), scyc.fppized): scyc.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized) $(addsuffix $(OBJ), seq_avdata_mod.fppized): seq_avdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), seq_cdata_mod.fppized): seq_cdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_comm_mct.fppized): seq_comm_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized shr_file_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_diag_mct.fppized): seq_diag_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_domain_mct.fppized): seq_domain_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_iceocn_mct.fppized map_snoglc_mct.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_drydep_mod.fppized): seq_drydep_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flds_indices.fppized): seq_flds_indices.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flds_mod.fppized): seq_flds_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flux_mct.fppized): seq_flux_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized shr_flux_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_orb_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_frac_mct.fppized): seq_frac_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_iceocn_mct.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_hist_mod.fppized): seq_hist_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_infodata_mod.fppized): seq_infodata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) seq_comm_mct.fppized seq_io_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_io_mod.fppized): seq_io_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized seq_comm_mct.fppized seq_flds_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_map_mod.fppized): seq_map_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_comm_mct.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_rearr_mod.fppized): seq_rearr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_flds_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_rest_mod.fppized): seq_rest_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_timemgr_mod.fppized): seq_timemgr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized seq_comm_mct.fppized seq_io_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), sgexx.fppized): sgexx.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_cal_mod.fppized): shr_cal_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_const_mod.fppized): shr_const_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_dmodel_mod.fppized): shr_dmodel_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized perf_mod.fppized pio.fppized seq_flds_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_map_mod.fppized shr_mpi_mod.fppized shr_ncread_mod.fppized shr_stream_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_file_mod.fppized): shr_file_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_flux_mod.fppized): shr_flux_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) phys_control.fppized physconst.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_infnan_mod.fppized): shr_infnan_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_log_mod.fppized): shr_log_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_map_mod.fppized): shr_map_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized shr_timer_mod.fppized) $(addsuffix $(OBJ), shr_mct_mod.fppized): shr_mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_pcdf_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_mem_mod.fppized): shr_mem_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), shr_mpi_mod.fppized): shr_mpi_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), shr_msg_mod.fppized): shr_msg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_file_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_ncread_mod.fppized): shr_ncread_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_orb_mod.fppized): shr_orb_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_pcdf_mod.fppized): shr_pcdf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_scam_mod.fppized): shr_scam_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_ncread_mod.fppized shr_strdata_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_strdata_mod.fppized): shr_strdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized perf_mod.fppized pio.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_map_mod.fppized shr_mct_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_stream_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized shr_tInterp_mod.fppized) $(addsuffix $(OBJ), shr_stream_mod.fppized): shr_stream_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_string_mod.fppized): shr_string_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized shr_timer_mod.fppized) $(addsuffix $(OBJ), shr_sys_mod.fppized): shr_sys_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized) $(addsuffix $(OBJ), shr_tInterp_mod.fppized): shr_tInterp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_cal_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_orb_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_timer_mod.fppized): shr_timer_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_vmath_mod.fppized): shr_vmath_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), solar_data.fppized): solar_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cam_pio_utils.fppized infnan.fppized ioFileMod.fppized namelist_utils.fppized physconst.fppized pio.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), spmd_dyn.fppized): spmd_dyn.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized decompmodule.fppized fv_control_mod.fppized ghostmodule.fppized infnan.fppized mpishorthand.fppized namelist_utils.fppized parutilitiesmodule.fppized pmgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized) $(addsuffix $(OBJ), spmd_utils.fppized): spmd_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized namelist_utils.fppized perf_mod.fppized shr_kind_mod.fppized units.fppized) $(addsuffix $(OBJ), srchutil.fppized): srchutil.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), srfxfer.fppized): srfxfer.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized cam_history.fppized camsrfexch_types.fppized chem_surfvals.fppized co2_cycle.fppized comsrf.fppized constituents.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), sslt_rebin.fppized): sslt_rebin.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized constituents.fppized phys_buffer.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), startup_initialconds.fppized): startup_initialconds.fppized.f90 $(addsuffix $(OBJ),$(basename ) buffer.fppized cam_pio_utils.fppized comsrf.fppized dyn_comp.fppized filenames.fppized history_defaults.fppized inidat.fppized ioFileMod.fppized phys_buffer.fppized pio.fppized radae.fppized readinitial.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), stepon.fppized): stepon.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized advect_tend.fppized cam_control_mod.fppized cam_logfile.fppized camsrfexch_types.fppized commap.fppized constituents.fppized dp_coupling.fppized dyn_comp.fppized dyn_internal_state.fppized dynamics_vars.fppized fv_control_mod.fppized fv_prints.fppized hycoef.fppized mpishorthand.fppized perf_mod.fppized phys_buffer.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), stratiform.fppized): stratiform.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized chemistry.fppized cldwat.fppized cldwat2m_macro.fppized cldwat2m_micro.fppized cloud_fraction.fppized constituents.fppized convect_shallow.fppized dycore.fppized microp_aero.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_debug_util.fppized physconst.fppized physics_types.fppized pkg_cld_sediment.fppized pkg_cldoptics.fppized ppgrid.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), sulchem.fppized): sulchem.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized perf_mod.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), sw_core.fppized): sw_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) dynamics_vars.fppized pft_module.fppized shr_kind_mod.fppized tp_core.fppized) $(addsuffix $(OBJ), te_map.fppized): te_map.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized dynamics_vars.fppized mapz_module.fppized mod_comm.fppized phys_control.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), tidal_diag.fppized): tidal_diag.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized physics_types.fppized ppgrid.fppized shr_const_mod.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), time_manager.fppized): time_manager.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized abortutils.fppized cam_logfile.fppized dycore.fppized mpishorthand.fppized pio.fppized shr_cal_mod.fppized shr_kind_mod.fppized spmd_utils.fppized string_utils.fppized) $(addsuffix $(OBJ), time_utils.fppized): time_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), tp_core.fppized): tp_core.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), tphysac.fppized): tphysac.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized aerosol_intr.fppized aoa_tracers.fppized cam_control_mod.fppized cam_diagnostics.fppized cam_logfile.fppized camsrfexch_types.fppized check_energy.fppized chemistry.fppized constituents.fppized dycore.fppized flux_avg.fppized gw_drag.fppized iondrag.fppized ionosphere.fppized majorsp_diffusion.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized rayleigh_friction.fppized shr_kind_mod.fppized time_manager.fppized tracers.fppized vertical_diffusion.fppized) $(addsuffix $(OBJ), tphysbc.fppized): tphysbc.fppized.f90 $(addsuffix $(OBJ),$(basename ) aerosol_intr.fppized cam_diagnostics.fppized cam_history.fppized camsrfexch_types.fppized check_energy.fppized cloud_diagnostics.fppized constituents.fppized convect_deep.fppized convect_shallow.fppized dycore.fppized macrop_driver.fppized microp_driver.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized radiation.fppized shr_kind_mod.fppized sslt_rebin.fppized stratiform.fppized time_manager.fppized tropopause.fppized) $(addsuffix $(OBJ), tphysidl.fppized): tphysidl.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized check_energy.fppized phys_grid.fppized physconst.fppized physics_types.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized time_manager.fppized) $(addsuffix $(OBJ), trac2d.fppized): trac2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) FVperf_module.fppized dynamics_vars.fppized fill_module.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized tp_core.fppized) $(addsuffix $(OBJ), tracer_data.fppized): tracer_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_control_mod.fppized cam_logfile.fppized cam_pio_utils.fppized dycore.fppized dyn_grid.fppized horizontal_interpolate.fppized interpolate_data.fppized ioFileMod.fppized mo_constants.fppized mo_util.fppized mpishorthand.fppized perf_mod.fppized phys_buffer.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio.fppized polar_avg.fppized ppgrid.fppized ref_pres.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized spmd_utils.fppized string_utils.fppized time_manager.fppized) $(addsuffix $(OBJ), tracers.fppized): tracers.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized constituents.fppized physconst.fppized physics_types.fppized ppgrid.fppized shr_kind_mod.fppized tracers_suite.fppized) $(addsuffix $(OBJ), tracers_suite.fppized): tracers_suite.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), trb_mtn_stress.fppized): trb_mtn_stress.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), tropopause.fppized): tropopause.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_history_support.fppized cam_logfile.fppized cam_pio_utils.fppized dyn_grid.fppized interpolate_data.fppized ioFileMod.fppized mpishorthand.fppized namelist_utils.fppized phys_grid.fppized physconst.fppized physics_types.fppized pio.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized units.fppized) $(addsuffix $(OBJ), tsinti.fppized): tsinti.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), units.fppized): units.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized shr_file_mod.fppized) $(addsuffix $(OBJ), upper_bc.fppized): upper_bc.fppized.f90 $(addsuffix $(OBJ),$(basename ) physics_types.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), uv3s_update.fppized): uv3s_update.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized dynamics_vars.fppized mod_comm.fppized parutilitiesmodule.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), uw_conv.fppized): uw_conv.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized error_function.fppized) $(addsuffix $(OBJ), uwshcu.fppized): uwshcu.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized error_function.fppized mpishorthand.fppized namelist_utils.fppized ppgrid.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), vertical_diffusion.fppized): vertical_diffusion.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_history.fppized cam_logfile.fppized constituents.fppized diffusion_solver.fppized eddy_diff.fppized geopotential.fppized hb_diff.fppized infnan.fppized molec_diff.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized physconst.fppized physics_types.fppized ppgrid.fppized ref_pres.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized trb_mtn_stress.fppized wv_saturation.fppized) $(addsuffix $(OBJ), virtem.fppized): virtem.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), vrtmap.fppized): vrtmap.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized srchutil.fppized) $(addsuffix $(OBJ), wetdep.fppized): wetdep.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_logfile.fppized phys_control.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), wrap_mpi.fppized): wrap_mpi.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized mpishorthand.fppized perf_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), wrap_nf.fppized): wrap_nf.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), wrf_error_fatal.fppized): wrf_error_fatal.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_sys_mod.fppized) $(addsuffix $(OBJ), wv_saturation.fppized): wv_saturation.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized shr_kind_mod.fppized spmd_utils.fppized) $(addsuffix $(OBJ), xpavg_mod.fppized): xpavg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), zenith.fppized): zenith.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_control_mod.fppized shr_kind_mod.fppized shr_orb_mod.fppized) $(addsuffix $(OBJ), zm_conv.fppized): zm_conv.fppized.f90 $(addsuffix $(OBJ),$(basename ) abortutils.fppized cam_logfile.fppized cldwat.fppized constituents.fppized dycore.fppized mpishorthand.fppized namelist_utils.fppized phys_control.fppized phys_grid.fppized physconst.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized units.fppized wv_saturation.fppized) $(addsuffix $(OBJ), zm_conv_intr.fppized): zm_conv_intr.fppized.f90 $(addsuffix $(OBJ),$(basename ) cam_history.fppized cam_logfile.fppized check_energy.fppized constituents.fppized error_messages.fppized perf_mod.fppized phys_buffer.fppized phys_control.fppized phys_grid.fppized physconst.fppized physics_types.fppized pmgrid.fppized ppgrid.fppized shr_kind_mod.fppized spmd_utils.fppized time_manager.fppized zm_conv.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/build/build_peak_x86_64-m64.0000/Makefile.cam4_s.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=627 NAME=cam4_s SOURCES= ESMF_BaseMod.F90 ESMF_BaseTimeMod.F90 ESMF_FractionMod.F90 \ ESMF_CalendarMod.F90 ESMF_TimeIntervalMod.F90 ESMF_Stubs.F90 \ ESMF_TimeMod.F90 ESMF_AlarmMod.F90 ESMF_ClockMod.F90 \ ESMF_AlarmClockMod.F90 ESMF_Mod.F90 cam_logfile.F90 \ debugutilitiesmodule.F90 decompmodule.F90 shr_kind_mod.F90 \ ghostmodule.F90 perf_utils.F90 shr_log_mod.F90 shr_mpi_mod.F90 \ shr_sys_mod.F90 shr_file_mod.F90 string_utils.F90 namelist_utils.F90 \ perf_mod.F90 mod_comm.F90 parutilitiesmodule.F90 mpishorthand.F90 \ abortutils.F90 units.F90 spmd_utils.F90 fv_control_mod.F90 \ pft_module.F90 dynamics_vars.F90 FVperf_module.F90 GPTLget_memusage.c \ GPTLprint_memusage.c GPTLutil.c MISR_simulator.F90 Meat.F90 pmgrid.F90 \ dycore.F90 pio_kinds.F90 pio_support.F90 pio_types.F90 pio_msg_mod.F90 \ alloc_mod.F90 pio_utils.F90 nf_mod.F90 pionfput_mod.F90 pionfatt_mod.F90 \ pio_spmd_utils.F90 calcdisplace_mod.F90 box_rearrange.F90 rearrange.F90 \ pionfread_mod.F90 iompi_mod.F90 pionfwrite_mod.F90 piodarray.F90 \ ionf_mod.F90 calcdecomp.F90 pio_mpi_utils.F90 piolib_mod.F90 \ pionfget_mod.F90 pio.F90 shr_timer_mod.F90 shr_string_mod.F90 \ shr_const_mod.F90 shr_cal_mod.F90 time_manager.F90 ppgrid.F90 \ physconst.F90 constituents.F90 commap.F90 infnan.F90 pspect.F90 \ rgrid.F90 spmd_dyn.F90 hycoef.F90 dyn_grid.F90 m_chars.F90 m_flow.F90 \ m_stdio.F90 m_mpif.F90 m_realkinds.F90 m_mpif90.F90 m_dropdead.F90 \ m_ioutil.F90 m_mpout.F90 m_die.F90 m_MergeSorts.F90 phys_grid.F90 \ ioFileMod.F90 cam_history_support.F90 cam_control_mod.F90 m_mall.F90 \ m_String.F90 m_rankMerge.F90 m_IndexBin_logical.F90 m_Permuter.F90 \ m_IndexBin_char.F90 m_IndexBin_integer.F90 m_SortingTools.F90 m_List.F90 \ m_TraceBack.F90 m_AttrVect.F90 m_GlobalMap.F90 m_FcComms.F90 \ m_MCTWorld.F90 m_GlobalSegMap.F90 m_AttrVectComms.F90 m_SparseMatrix.F90 \ m_SparseMatrixDecomp.F90 m_SparseMatrixComms.F90 m_Navigator.F90 \ m_GlobalToLocal.F90 m_SparseMatrixToMaps.F90 m_ConvertMaps.F90 \ m_ExchangeMaps.F90 m_Router.F90 m_Rearranger.F90 m_SparseMatrixPlus.F90 \ m_GeneralGrid.F90 m_Transfer.F90 m_inpak90.F90 m_GlobalSegMapComms.F90 \ m_Accumulator.F90 m_MatAttrVectMul.F90 m_GeneralGridComms.F90 \ mct_mod.F90 seq_comm_mct.F90 seq_drydep_mod.F90 seq_flds_mod.F90 \ seq_io_mod.F90 cam_pio_utils.F90 sat_hist.F90 solar_data.F90 \ shr_orb_mod.F90 shr_tInterp_mod.F90 shr_stream_mod.F90 shr_pcdf_mod.F90 \ shr_mct_mod.F90 shr_map_mod.F90 shr_ncread_mod.F90 shr_dmodel_mod.F90 \ shr_strdata_mod.F90 shr_scam_mod.F90 wrap_nf.F90 scamMod.F90 \ error_messages.F90 interpolate_data.F90 geopotential.F90 ref_pres.F90 \ phys_control.F90 physics_types.F90 time_utils.F90 repro_sum_mod.F90 \ phys_gmean.F90 mo_constants.F90 m_types.F90 mo_flbc.F90 \ chem_surfvals.F90 cam_history_buffers.F90 filenames.F90 cosp_share.F90 \ interp_mod.F90 cam_history.F90 advect_tend.F90 phys_buffer.F90 \ ghg_data.F90 radconstants.F90 radae.F90 quicksort.F90 radlw.F90 \ pkg_cldoptics.F90 phys_debug_util.F90 conv_water.F90 param_cldoptics.F90 \ mo_util.F90 rad_solar_var.F90 cmparray_mod.F90 radsw.F90 phys_prop.F90 \ rad_constituents.F90 wv_saturation.F90 modal_aer_opt.F90 \ aer_rad_props.F90 camsrfexch_types.F90 radiation_data.F90 \ cosp_constants.F90 cosp_utils.F90 radar_simulator_types.f90 \ cosp_types.F90 modis_simulator.F90 cosp_modis_simulator.F90 \ cosp_lidar.F90 cosp_misr_simulator.F90 llnl_stats.F90 lmd_ipsl_stats.F90 \ cosp_stats.F90 atmos_lib.f90 mrgrnk.f90 array_lib.f90 format_input.f90 \ math_lib.f90 optics_lib.f90 cosp_radar.F90 cosp_isccp_simulator.F90 \ cosp_simulator.F90 cosp.F90 cospsimulator_intr.F90 chemistry.F90 \ radheat.F90 radiation.F90 horizontal_interpolate.F90 polar_avg.F90 \ tracer_data.F90 prescribed_ghg.F90 prescribed_aero.F90 \ trb_mtn_stress.F90 phys_debug.F90 hb_diff.F90 upper_bc.F90 \ molec_diff.F90 diffusion_solver.F90 eddy_diff.F90 vertical_diffusion.F90 \ boundarydata.F90 cam3_ozone_data.F90 aoa_tracers.F90 iondrag.F90 \ cam3_aero_data.F90 tracers_suite.F90 tracers.F90 tropopause.F90 \ prescribed_volcaero.F90 prescribed_ozone.F90 aircraft_emit.F90 \ modal_aero_deposition.F90 aerodep_flx.F90 advnce.F90 drydep_mod.F90 \ wetdep.F90 dust_sediment_mod.F90 dust_intr.F90 progseasalts_intr.F90 \ scyc.F90 aerosol_intr.F90 history_scam.F90 xpavg_mod.F90 ncdio_atm.F90 \ metdata.F90 co2_data_flux.F90 co2_cycle.F90 buffer.F90 comsrf.F90 \ restart_physics.F90 pfixer.F90 ctem.F90 diag_module.F90 dyn_comp.F90 \ dyn_internal_state.F90 restart_dynamics.F90 cam_restart.F90 \ readinitial.F90 history_defaults.F90 error_function.F90 uw_conv.F90 \ uwshcu.F90 cldwat.F90 zm_conv.F90 hk_conv.F90 convect_shallow.F90 \ cloud_fraction.F90 cldwat2m_macro.F90 ndrop.F90 cldwat2m_micro.F90 \ microp_aero.F90 microp_driver.F90 pkg_cld_sediment.F90 stratiform.F90 \ inidat.F90 startup_initialconds.F90 inital.F90 check_energy.F90 \ dp_coupling.F90 fv_prints.F90 stepon.F90 ionosphere.F90 \ cloud_diagnostics.F90 cloud_rad_props.F90 tidal_diag.F90 \ constituent_burden.F90 cam_diagnostics.F90 flux_avg.F90 \ macrop_driver.F90 gw_drag.F90 zm_conv_intr.F90 convect_deep.F90 \ rayleigh_friction.F90 majorsp_diffusion.F90 sslt_rebin.F90 physpkg.F90 \ cam_comp.F90 seq_infodata_mod.F90 seq_cdata_mod.F90 runtime_opts.F90 \ cam_cpl_indices.F90 seq_timemgr_mod.F90 atm_comp_mct.F90 benergy.F90 \ binary_io.F90 bnddyi.F90 seq_flds_indices.F90 seq_diag_mct.F90 \ seq_rearr_mod.F90 map_ocnocn_mct.F90 mrg_x2s_mct.F90 ice_comp_mct.F90 \ mrg_x2o_mct.F90 seq_avdata_mod.F90 seq_hist_mod.F90 mrg_x2a_mct.F90 \ map_iceocn_mct.F90 seq_map_mod.F90 map_atmlnd_mct.F90 map_atmice_mct.F90 \ map_snoglc_mct.F90 map_atmocn_mct.F90 seq_domain_mct.F90 \ seq_rest_mod.F90 mrg_x2l_mct.F90 seq_frac_mct.F90 shr_flux_mod.F90 \ seq_flux_mct.F90 map_lndlnd_mct.F90 map_iceice_mct.F90 \ map_glcglc_mct.F90 lnd_comp_mct.F90 ocn_types.F90 ocn_comp.F90 \ ocn_comp_mct.F90 map_rofrof_mct.F90 map_atmatm_mct.F90 \ map_rofocn_mct.F90 shr_mem_mod.F90 map_snosno_mct.F90 mrg_x2g_mct.F90 \ mrg_x2i_mct.F90 glc_comp_mct.F90 ccsm_comp_mod.F90 ccsm_driver.F90 \ tp_core.F90 sw_core.F90 cd_core.F90 cldsav.F90 collective.c comm.c \ comspe.F90 cpslec.F90 d2a3dijk.F90 d2a3dikj.F90 dadadj.F90 datetime.F90 \ diag_dynvar_ic.F90 mean_module.F90 dryairm.F90 dsd.f90 mapz_module.F90 \ epvd.F90 esinti.F90 f_wrappers.c fft99.F90 fill_module.F90 fort.F90 \ gases.f90 gauaw_mod.F90 geopk.F90 get_zeits.c gffgch.F90 gptl.c \ gptl_papi.c group.c handles.c hirsbtpar.f90 hirsbt.f90 icarus.F90 \ initcom.F90 initindx.F90 intp_util.F90 iop_surf.F90 lidar_simulator.F90 \ list.c load_hydrometeor_classes.f90 m_AccumulatorComms.F90 \ m_AttrVectReduce.F90 m_StrTemplate.F90 m_FileResolv.F90 m_Filename.F90 \ m_Merge.F90 m_SpatialIntegralV.F90 m_SpatialIntegral.F90 m_zeit.F90 \ marsaglia.F90 mcshallow.F90 pio_quicksort.F90 mct_rearrange.F90 \ miesubs.F90 mo_msis_ubc.F90 mo_regrider.F90 mo_solar_parms.F90 mpi.c \ msise00.F90 p_d_adjust.F90 pack.c par_vecsum.F90 par_xsum.F90 \ pf_to_mr.F90 pio_msg_callbacks.F90 pio_msg_getput_callbacks.F90 \ pio_nf_utils.F90 pkez.F90 prec_scops.F90 puminterfaces.F90 qneg3.F90 \ qneg4.F90 radar_simulator.f90 recv.c redistributemodule.F90 req.c \ scops.F90 send.c sgexx.F90 shr_jlcp.c shr_msg_mod.F90 shr_vmath_fwrap.c \ shr_vmath_mod.F90 srchutil.F90 srfxfer.F90 sulchem.F90 te_map.F90 \ threadutil.c time.c topology.c tphysac.F90 tphysbc.F90 tphysidl.F90 \ trac2d.F90 trunc.F90 tsinti.F90 uv3s_update.F90 virtem.F90 vrtmap.F90 \ wrap_mpi.F90 wrf_error_fatal.F90 wrf_message.F90 zeff.f90 zenith.F90 \ netcdf/attr.c netcdf/dim.c netcdf/error.c netcdf/fort-attio.c \ netcdf/fort-control.c netcdf/fort-dim.c netcdf/fort-genatt.c \ netcdf/fort-geninq.c netcdf/fort-genvar.c netcdf/fort-lib.c \ netcdf/fort-misc.c netcdf/fort-v2compat.c netcdf/fort-var1io.c \ netcdf/fort-varaio.c netcdf/fort-vario.c netcdf/fort-varmio.c \ netcdf/fort-varsio.c netcdf/libvers.c netcdf/nc.c netcdf/ncx.c \ netcdf/posixio.c netcdf/putget.c netcdf/string.c netcdf/v1hpg.c \ netcdf/v2i.c netcdf/var.c netcdf/typeSizes.f90 netcdf/netcdf.f90 \ spec_qsort/spec_qsort.c EXEBASE=cam4_s NEED_MATH= BENCHLANG=F C BENCH_CFLAGS = -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 BENCH_FFLAGS = -I. -Iinclude -Inetcdf/include BENCH_FPPFLAGS = -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix PORTABILITY = -DSPEC_CASE_FLAG SPECLANG = /usr/bin/ absolutely_no_locking = 0 action = build allow_label_override = 0 backup_config = 1 baseexe = cam4_s basepeak = 0 benchdir = benchspec benchmark = 627.cam4_s bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = cam4_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = 1 configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = cam4_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 627 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/527.cam4_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.906000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = cam4_validate.txt Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/build/build_peak_x86_64-m64.0000/Makefile.cam4_validate_627.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=627 NAME=cam4_s SOURCES= validate-cam.f90 netcdf/attr.c netcdf/dim.c netcdf/error.c \ netcdf/fort-attio.c netcdf/fort-control.c netcdf/fort-dim.c \ netcdf/fort-genatt.c netcdf/fort-geninq.c netcdf/fort-genvar.c \ netcdf/fort-lib.c netcdf/fort-misc.c netcdf/fort-v2compat.c \ netcdf/fort-var1io.c netcdf/fort-varaio.c netcdf/fort-vario.c \ netcdf/fort-varmio.c netcdf/fort-varsio.c netcdf/libvers.c netcdf/nc.c \ netcdf/ncx.c netcdf/posixio.c netcdf/putget.c netcdf/string.c \ netcdf/v1hpg.c netcdf/v2i.c netcdf/var.c netcdf/typeSizes.f90 \ netcdf/netcdf.f90 spec_qsort/spec_qsort.c EXEBASE=cam4_validate_627 NEED_MATH= BENCHLANG=F C BENCH_CFLAGS = -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 BENCH_FFLAGS = -I. -Iinclude -Inetcdf/include BENCH_FPPFLAGS = -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix PORTABILITY = -DSPEC_CASE_FLAG SPECLANG = /usr/bin/ absolutely_no_locking = 0 action = build allow_label_override = 0 backup_config = 1 baseexe = cam4_s basepeak = 0 benchdir = benchspec benchmark = 627.cam4_s bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = cam4_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = 1 configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = cam4_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 627 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/527.cam4_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.906000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = cam4_validate.txt Compile for '627.cam4_s' started at: 2021-07-08 15:39:13 (1625773153) Issuing make.clean.cam4_s command 'specmake --output-sync --jobs=1 clean TARGET=cam4_s' Start make.clean.cam4_s command: 2021-07-08 15:39:13 (1625773153.82249) Stop make.clean.cam4_s command: 2021-07-08 15:39:13 (1625773153.98801) Elapsed time for make.clean.cam4_s command: 00:00:00 (0.165520906448364) Issuing make.clean.cam4_validate_627 command 'specmake --output-sync --jobs=1 clean TARGET=cam4_validate_627' Start make.clean.cam4_validate_627 command: 2021-07-08 15:39:13 (1625773153.99139) Stop make.clean.cam4_validate_627 command: 2021-07-08 15:39:14 (1625773154.16278) Elapsed time for make.clean.cam4_validate_627 command: 00:00:00 (0.171386003494263) Issuing make.cam4_s command 'specmake --output-sync --jobs=1 build TARGET=cam4_s' Start make.cam4_s command: 2021-07-08 15:39:14 (1625773154.16663) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_BaseMod.F90 -o ESMF_BaseMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_BaseMod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ESMF_BaseMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_BaseTimeMod.F90 -o ESMF_BaseTimeMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_BaseTimeMod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ESMF_BaseTimeMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_FractionMod.F90 -o ESMF_FractionMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_FractionMod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ESMF_FractionMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_CalendarMod.F90 -o ESMF_CalendarMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_CalendarMod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ESMF_CalendarMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_TimeIntervalMod.F90 -o ESMF_TimeIntervalMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_TimeIntervalMod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ESMF_TimeIntervalMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_Stubs.F90 -o ESMF_Stubs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Stubs.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ESMF_Stubs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_TimeMod.F90 -o ESMF_TimeMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_TimeMod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ESMF_TimeMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_AlarmMod.F90 -o ESMF_AlarmMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_AlarmMod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ESMF_AlarmMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_ClockMod.F90 -o ESMF_ClockMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_ClockMod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ESMF_ClockMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_AlarmClockMod.F90 -o ESMF_AlarmClockMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_AlarmClockMod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ESMF_AlarmClockMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ESMF_Mod.F90 -o ESMF_Mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ESMF_Mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_logfile.F90 -o cam_logfile.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_logfile.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cam_logfile.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 debugutilitiesmodule.F90 -o debugutilitiesmodule.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o debugutilitiesmodule.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp debugutilitiesmodule.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 decompmodule.F90 -o decompmodule.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o decompmodule.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp decompmodule.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_kind_mod.F90 -o shr_kind_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_kind_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_kind_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ghostmodule.F90 -o ghostmodule.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ghostmodule.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ghostmodule.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 perf_utils.F90 -o perf_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o perf_utils.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp perf_utils.fppized.f90 perf_utils.fppized.f90:672:18: 672 | call MPI_BCAST(vec,lsize,MPI_INTEGER,0,comm,ierr) | 1 ...... 704 | call MPI_BCAST(vec,lsize,MPI_LOGICAL,0,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_log_mod.F90 -o shr_log_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_log_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_log_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_mpi_mod.F90 -o shr_mpi_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_mpi_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_mpi_mod.fppized.f90 shr_mpi_mod.fppized.f90:2151:24: 2151 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 1 ...... 2208 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:2154:21: 2154 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 1 ...... 2211 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:2094:24: 2094 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_INTEGER,reduce_type,comm,ierr) | 1 ...... 2208 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:2097:21: 2097 | call MPI_REDUCE(lvec,gvec,gsize,MPI_INTEGER,reduce_type,0,comm,ierr) | 1 ...... 2211 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:1923:24: 1923 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 1 ...... 2208 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1926:21: 1926 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 1 ...... 2211 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1581:24: 1581 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 1 ...... 2208 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1584:21: 1584 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 1 ...... 2211 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1097:18: 1097 | call MPI_BCAST(arr,lsize,MPI_INTEGER,lpebcast,comm,ierr) | 1 ...... 1135 | call MPI_BCAST(arr,lsize,MPI_REAL8,lpebcast,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:913:18: 913 | call MPI_BCAST(vec,lsize,MPI_REAL8,lpebcast,comm,ierr) | 1 ...... 1135 | call MPI_BCAST(arr,lsize,MPI_REAL8,lpebcast,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:663:17: 663 | call MPI_RECV(lvec,lsize,MPI_REAL8,pid,tag,comm,status,ierr) | 1 ...... 733 | call MPI_RECV(array,lsize,MPI_REAL8,pid,tag,comm,status,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:628:17: 628 | call MPI_RECV(lvec,lsize,MPI_INTEGER,pid,tag,comm,status,ierr) | 1 ...... 733 | call MPI_RECV(array,lsize,MPI_REAL8,pid,tag,comm,status,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:490:17: 490 | call MPI_SEND(lvec,lsize,MPI_REAL8,pid,tag,comm,ierr) | 1 ...... 558 | call MPI_SEND(array,lsize,MPI_REAL8,pid,tag,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:456:17: 456 | call MPI_SEND(lvec,lsize,MPI_INTEGER,pid,tag,comm,ierr) | 1 ...... 558 | call MPI_SEND(array,lsize,MPI_REAL8,pid,tag,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_sys_mod.F90 -o shr_sys_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_sys_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_sys_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_file_mod.F90 -o shr_file_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_file_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_file_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 string_utils.F90 -o string_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o string_utils.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp string_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 namelist_utils.F90 -o namelist_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o namelist_utils.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp namelist_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 perf_mod.F90 -o perf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o perf_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp perf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mod_comm.F90 -o mod_comm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_comm.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_comm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 parutilitiesmodule.F90 -o parutilitiesmodule.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o parutilitiesmodule.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp parutilitiesmodule.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mpishorthand.F90 -o mpishorthand.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mpishorthand.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mpishorthand.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 abortutils.F90 -o abortutils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o abortutils.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp abortutils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 units.F90 -o units.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o units.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp units.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 spmd_utils.F90 -o spmd_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o spmd_utils.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp spmd_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 fv_control_mod.F90 -o fv_control_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fv_control_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp fv_control_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pft_module.F90 -o pft_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pft_module.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pft_module.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dynamics_vars.F90 -o dynamics_vars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dynamics_vars.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp dynamics_vars.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 FVperf_module.F90 -o FVperf_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o FVperf_module.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp FVperf_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GPTLget_memusage.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GPTLget_memusage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GPTLprint_memusage.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GPTLprint_memusage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GPTLutil.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GPTLutil.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 MISR_simulator.F90 -o MISR_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o MISR_simulator.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp MISR_simulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 Meat.F90 -o Meat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Meat.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp Meat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pmgrid.F90 -o pmgrid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pmgrid.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pmgrid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dycore.F90 -o dycore.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dycore.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp dycore.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_kinds.F90 -o pio_kinds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o netcdf/typeSizes.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp netcdf/typeSizes.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o netcdf/netcdf.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp netcdf/netcdf.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_kinds.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pio_kinds.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_support.F90 -o pio_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_support.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pio_support.fppized.f90 pio_support.fppized.f90:271:30: 268 | call MPI_SEND(sdof,1,MPI_INTEGER,n,n,comm,ierr) | 2 ...... 271 | call MPI_SEND(wdof,sdof,MPI_INTEGER,n,npes+n,comm,ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_support.fppized.f90:284:24: 279 | call MPI_RECV(sdof,1,MPI_INTEGER,masterproc,myrank,comm,status,ierr) | 2 ...... 284 | call MPI_RECV(dof,sdof,MPI_INTEGER,masterproc,npes+myrank,comm,status,ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_support.fppized.f90:173:27: 173 | call MPI_SEND(dof,sdof,MPI_INTEGER,masterproc,n,comm,ierr) | 1 ...... 268 | call MPI_SEND(sdof,1,MPI_INTEGER,n,n,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_types.F90 -o pio_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_types.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pio_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_msg_mod.F90 -o pio_msg_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_msg_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pio_msg_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 alloc_mod.F90 -o alloc_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o alloc_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp alloc_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_utils.F90 -o pio_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_utils.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pio_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 nf_mod.F90 -o nf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp nf_mod.fppized.f90 nf_mod.fppized.f90:1504:22: 1499 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 1504 | call mpi_bcast(name, nlen, mpi_character, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(*)/INTEGER(4)). nf_mod.fppized.f90:1506:22: 1499 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 1506 | call mpi_bcast(dimids, vardesc%ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:941:28: 941 | call MPI_BCAST(dimids,size(dimids),MPI_INTEGER,0,ios%IO_comm, mpierr) | 1 ...... 1499 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:952:22: 952 | call MPI_BCAST(dimids,size_dimids,MPI_INTEGER,ios%IOMaster,ios%My_comm, mpierr) | 1 ...... 1499 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:217:28: 217 | call MPI_BCAST(vals,4,MPI_INTEGER,0,ios%IO_comm, mpierr) | 1 ...... 1499 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:231:22: 231 | call MPI_BCAST(vals,4,MPI_INTEGER,ios%IOMaster, ios%my_comm, mpierr) | 1 ...... 1499 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pionfput_mod.F90 -o pionfput_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfput_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pionfput_mod.fppized.f90 pionfput_mod.fppized.f90:4298:22: 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4298 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4299:22: 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4299 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4304:22: 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4304 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4309:22: 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4309 | call MPI_BCAST(ival,ilen*size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-5) pionfput_mod.fppized.f90:4215:22: 4215 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4216:22: 4216 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4221:22: 4221 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4226:22: 4226 | call MPI_BCAST(ival,ilen*size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-4) pionfput_mod.fppized.f90:4132:22: 4132 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4133:22: 4133 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4138:22: 4138 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4143:22: 4143 | call MPI_BCAST(ival,ilen*size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) pionfput_mod.fppized.f90:4049:22: 4049 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4050:22: 4050 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4055:22: 4055 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:4060:22: 4060 | call MPI_BCAST(ival,ilen*size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) pionfput_mod.fppized.f90:3966:22: 3966 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3967:22: 3967 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3972:22: 3972 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3977:22: 3977 | call MPI_BCAST(ival,ilen*size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3883:22: 3883 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3884:22: 3884 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3889:22: 3889 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3894:22: 3894 | call MPI_BCAST(ival,ilen*size(ival),MPI_REAL8,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfput_mod.fppized.f90:3800:22: 3800 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3801:22: 3801 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3806:22: 3806 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3717:22: 3717 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3718:22: 3718 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3723:22: 3723 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3634:22: 3634 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3635:22: 3635 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3640:22: 3640 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3551:22: 3551 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3552:22: 3552 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3557:22: 3557 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3468:22: 3468 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3469:22: 3469 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3474:22: 3474 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3385:22: 3385 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3386:22: 3386 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3391:22: 3391 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3302:22: 3302 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3303:22: 3303 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3308:22: 3308 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3219:22: 3219 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3220:22: 3220 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3225:22: 3225 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3136:22: 3136 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3137:22: 3137 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3142:22: 3142 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3051:22: 3051 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3052:22: 3052 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:3057:22: 3057 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2966:22: 2966 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2967:22: 2967 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2972:22: 2972 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2881:22: 2881 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2882:22: 2882 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2887:22: 2887 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2796:22: 2796 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2797:22: 2797 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2802:22: 2802 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2711:22: 2711 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2712:22: 2712 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2717:22: 2717 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2159:22: 2159 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2163:22: 2163 | call MPI_BCAST(ival,size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-5) pionfput_mod.fppized.f90:2088:22: 2088 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2092:22: 2092 | call MPI_BCAST(ival,size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-4) pionfput_mod.fppized.f90:2017:22: 2017 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:2021:22: 2021 | call MPI_BCAST(ival,size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) pionfput_mod.fppized.f90:1946:22: 1946 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1950:22: 1950 | call MPI_BCAST(ival,size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) pionfput_mod.fppized.f90:1875:22: 1875 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1879:22: 1879 | call MPI_BCAST(ival,size(ival),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1740:22: 1740 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1669:22: 1669 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1598:22: 1598 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1527:22: 1527 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1456:22: 1456 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1321:22: 1321 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1250:22: 1250 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1179:22: 1179 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1108:22: 1108 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:1037:22: 1037 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:898:22: 898 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:823:22: 823 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:748:22: 748 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:673:22: 673 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:598:22: 598 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:363:22: 363 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:296:22: 296 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:229:22: 229 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfput_mod.fppized.f90:159:22: 159 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4293 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pionfatt_mod.F90 -o pionfatt_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfatt_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pionfatt_mod.fppized.f90 pionfatt_mod.fppized.f90:1318:22: 1314 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 1318 | call MPI_BCAST(name,len(name),MPI_CHARACTER,ios%CompMaster, ios%my_comm , mpierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(*)/INTEGER(4)). pionfatt_mod.fppized.f90:1260:28: 1260 | call MPI_BCAST(value,clen ,MPI_INTEGER ,0,Ios%IO_comm, mpierr) | 1 ...... 1314 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfatt_mod.fppized.f90:1273:22: 1273 | call MPI_BCAST(value, clen, MPI_INTEGER,Ios%iomaster,Ios%my_comm, mpierr) | 1 ...... 1314 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfatt_mod.fppized.f90:511:22: 511 | call MPI_BCAST(value, clen, MPI_INTEGER, ios%compmaster, ios%my_comm, mpierr) | 1 ...... 1314 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_spmd_utils.F90 -o pio_spmd_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_spmd_utils.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pio_spmd_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 calcdisplace_mod.F90 -o calcdisplace_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o calcdisplace_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp calcdisplace_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 box_rearrange.F90 -o box_rearrange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o box_rearrange.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp box_rearrange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 rearrange.F90 -o rearrange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rearrange.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp rearrange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pionfread_mod.F90 -o pionfread_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfread_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pionfread_mod.fppized.f90 pionfread_mod.fppized.f90:383:28: 375 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 ...... 383 | call MPI_SEND( iobuf_size,1,MPI_INTEGER, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:411:31: 387 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 ...... 411 | call MPI_RECV( iobuf_size, 1, MPI_INTEGER, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:242:28: 242 | call MPI_SEND( iobuf_size,1,MPI_INTEGER, & | 1 ...... 375 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:246:28: 246 | call MPI_RECV( IOBUF,size(IOBUF), & | 1 ...... 387 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfread_mod.fppized.f90:270:31: 270 | call MPI_RECV( iobuf_size, 1, MPI_INTEGER, & | 1 ...... 387 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:277:31: 277 | call MPI_SEND( IOBUF,iobuf_size, & | 1 ...... 375 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfread_mod.fppized.f90:101:28: 101 | call MPI_SEND( iobuf_size,1,MPI_INTEGER, & | 1 ...... 375 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:129:31: 129 | call MPI_RECV( iobuf_size, 1, MPI_INTEGER, & | 1 ...... 387 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 iompi_mod.F90 -o iompi_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o iompi_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp iompi_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pionfwrite_mod.F90 -o pionfwrite_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfwrite_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pionfwrite_mod.fppized.f90 pionfwrite_mod.fppized.f90:453:28: 448 | call MPI_SEND( temp_IOBUF,max_iobuf_size, & | 2 ...... 453 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). pionfwrite_mod.fppized.f90:480:34: 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 ...... 480 | call MPI_RECV( temp_iobuf, max_iobuf_size, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfwrite_mod.fppized.f90:486:34: 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 ...... 486 | call MPI_RECV( temp_start, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:492:34: 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 ...... 492 | call MPI_RECV( temp_count, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:311:34: 311 | call MPI_RECV( temp_iobuf, max_iobuf_size, & | 1 ...... 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:317:34: 317 | call MPI_RECV( temp_start, & | 1 ...... 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:323:34: 323 | call MPI_RECV( temp_count, & | 1 ...... 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:148:34: 148 | call MPI_RECV( temp_start, & | 1 ...... 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:154:34: 154 | call MPI_RECV( temp_count, & | 1 ...... 440 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 piodarray.F90 -o piodarray.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o piodarray.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp piodarray.fppized.f90 piodarray.fppized.f90:364:25: 364 | call mpi_bcast(fillval, 1, MPI_REAL8, ios%compmaster, ios%intercomm, ierr) | 1 ...... 1118 | call mpi_bcast(file%fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ionf_mod.F90 -o ionf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ionf_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ionf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 calcdecomp.F90 -o calcdecomp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o calcdecomp.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp calcdecomp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_mpi_utils.F90 -o pio_mpi_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_mpi_utils.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pio_mpi_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 piolib_mod.F90 -o piolib_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o piolib_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp piolib_mod.fppized.f90 piolib_mod.fppized.f90:1699:22: 1699 | call mpi_bcast(myfname, len(fname), mpi_character, 0, iosystem%comp_comm, ierr) | 1 ...... 1827 | call mpi_bcast(file%fh, 1, mpi_integer, file%iosystem%compmaster, file%iosystem%intercomm, ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(360)/INTEGER(4)). piolib_mod.fppized.f90:812:22: 812 | call mpi_bcast(dims, size(dims), mpi_integer, iosystem%compmaster, iosystem%intercomm, ierr) | 1 ...... 1827 | call mpi_bcast(file%fh, 1, mpi_integer, file%iosystem%compmaster, file%iosystem%intercomm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pionfget_mod.F90 -o pionfget_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfget_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pionfget_mod.fppized.f90 pionfget_mod.fppized.f90:4214:22: 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4214 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:4231:28: 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4231 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-5) pionfget_mod.fppized.f90:4239:22: 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 ...... 4239 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-5) pionfget_mod.fppized.f90:4148:22: 4148 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:4165:28: 4165 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-4) pionfget_mod.fppized.f90:4173:22: 4173 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-4) pionfget_mod.fppized.f90:4082:22: 4082 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:4099:28: 4099 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) pionfget_mod.fppized.f90:4107:22: 4107 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) pionfget_mod.fppized.f90:4016:22: 4016 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:4033:28: 4033 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) pionfget_mod.fppized.f90:4041:22: 4041 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) pionfget_mod.fppized.f90:3950:22: 3950 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3967:28: 3967 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3975:22: 3975 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3825:22: 3825 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3842:28: 3842 | call MPI_BCAST(ival,int(isize), MPI_REAL8 ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfget_mod.fppized.f90:3759:22: 3759 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3693:22: 3693 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3627:22: 3627 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3561:22: 3561 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3436:22: 3436 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3370:22: 3370 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3304:22: 3304 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3238:22: 3238 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3172:22: 3172 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:3046:22: 3046 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2976:22: 2976 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2906:22: 2906 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2836:22: 2836 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2766:22: 2766 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2121:22: 2121 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2122:22: 2122 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2127:22: 2127 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2147:28: 2147 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-5) pionfget_mod.fppized.f90:2156:22: 2156 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-5) pionfget_mod.fppized.f90:2035:22: 2035 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2036:22: 2036 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2041:22: 2041 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:2061:28: 2061 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-4) pionfget_mod.fppized.f90:2070:22: 2070 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-4) pionfget_mod.fppized.f90:1949:22: 1949 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1950:22: 1950 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1955:22: 1955 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1975:28: 1975 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) pionfget_mod.fppized.f90:1984:22: 1984 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-3) pionfget_mod.fppized.f90:1863:22: 1863 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1864:22: 1864 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1869:22: 1869 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1889:28: 1889 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) pionfget_mod.fppized.f90:1898:22: 1898 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) pionfget_mod.fppized.f90:1777:22: 1777 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1778:22: 1778 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1783:22: 1783 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1803:28: 1803 | call MPI_BCAST(ival,int(isize), MPI_INTEGER ,0,ios%IO_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1812:22: 1812 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , ios%IOMaster, ios%My_comm, mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1691:22: 1691 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1692:22: 1692 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1697:22: 1697 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1605:22: 1605 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1606:22: 1606 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1611:22: 1611 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1519:22: 1519 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1520:22: 1520 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1525:22: 1525 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1433:22: 1433 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1434:22: 1434 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1439:22: 1439 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1347:22: 1347 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1348:22: 1348 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1353:22: 1353 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1261:22: 1261 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1262:22: 1262 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1267:22: 1267 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1175:22: 1175 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1176:22: 1176 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1181:22: 1181 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1089:22: 1089 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1090:22: 1090 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1095:22: 1095 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1003:22: 1003 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1004:22: 1004 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:1009:22: 1009 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:917:22: 917 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:918:22: 918 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:923:22: 923 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:830:22: 830 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:831:22: 831 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:836:22: 836 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:743:22: 743 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:744:22: 744 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:749:22: 749 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:656:22: 656 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:657:22: 657 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:662:22: 662 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:569:22: 569 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:570:22: 570 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:575:22: 575 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:482:22: 482 | call MPI_BCAST(start,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:483:22: 483 | call MPI_BCAST(count,size(start),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:488:22: 488 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:318:22: 318 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:257:22: 257 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:196:22: 196 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfget_mod.fppized.f90:135:22: 135 | call MPI_BCAST(index,size(index),MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 1 ...... 4208 | call MPI_BCAST(file%fh,1,MPI_INTEGER,ios%CompMaster, ios%my_comm , mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio.F90 -o pio.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pio.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_timer_mod.F90 -o shr_timer_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_timer_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_timer_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_string_mod.F90 -o shr_string_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_string_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_string_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_const_mod.F90 -o shr_const_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_const_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_const_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_cal_mod.F90 -o shr_cal_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_cal_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_cal_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 time_manager.F90 -o time_manager.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o time_manager.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp time_manager.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ppgrid.F90 -o ppgrid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ppgrid.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ppgrid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 physconst.F90 -o physconst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o physconst.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp physconst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 constituents.F90 -o constituents.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o constituents.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp constituents.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 commap.F90 -o commap.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o commap.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp commap.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 infnan.F90 -o infnan.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o infnan.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp infnan.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pspect.F90 -o pspect.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pspect.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pspect.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 rgrid.F90 -o rgrid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rgrid.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp rgrid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 spmd_dyn.F90 -o spmd_dyn.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o spmd_dyn.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp spmd_dyn.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 hycoef.F90 -o hycoef.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hycoef.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp hycoef.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dyn_grid.F90 -o dyn_grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dyn_grid.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp dyn_grid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_chars.F90 -o m_chars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_chars.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_chars.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_flow.F90 -o m_flow.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_flow.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_flow.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_stdio.F90 -o m_stdio.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_stdio.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_stdio.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_mpif.F90 -o m_mpif.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mpif.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_mpif.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_realkinds.F90 -o m_realkinds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_realkinds.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_realkinds.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_mpif90.F90 -o m_mpif90.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mpif90.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_mpif90.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_dropdead.F90 -o m_dropdead.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_dropdead.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_dropdead.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_ioutil.F90 -o m_ioutil.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_ioutil.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_ioutil.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_mpout.F90 -o m_mpout.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mpout.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_mpout.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_die.F90 -o m_die.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_die.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_die.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_MergeSorts.F90 -o m_MergeSorts.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_MergeSorts.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_MergeSorts.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_grid.F90 -o phys_grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_grid.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp phys_grid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ioFileMod.F90 -o ioFileMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ioFileMod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ioFileMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_history_support.F90 -o cam_history_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_history_support.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cam_history_support.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_control_mod.F90 -o cam_control_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_control_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cam_control_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_mall.F90 -o m_mall.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mall.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_mall.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_String.F90 -o m_String.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_String.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_String.fppized.f90 m_String.fppized.f90:475:17: 454 | call MPI_bcast(ln,1,MP_INTEGER,root,comm,ier) | 2 ...... 475 | call MPI_bcast(Str%c(1),ln,MP_CHARACTER,root,comm,ier) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(1)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_rankMerge.F90 -o m_rankMerge.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_rankMerge.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_rankMerge.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_IndexBin_logical.F90 -o m_IndexBin_logical.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_IndexBin_logical.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_IndexBin_logical.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Permuter.F90 -o m_Permuter.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Permuter.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_Permuter.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_IndexBin_char.F90 -o m_IndexBin_char.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_IndexBin_char.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_IndexBin_char.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_IndexBin_integer.F90 -o m_IndexBin_integer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_IndexBin_integer.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_IndexBin_integer.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SortingTools.F90 -o m_SortingTools.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SortingTools.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_SortingTools.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_List.F90 -o m_List.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_List.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_List.fppized.f90 m_List.fppized.f90:1937:15: 1919 | call MPI_RECV(length, 1, MP_type(length), source, TagBase, comm, & | 2 ...... 1937 | call MPI_RECV(DummStr%c(1), length, MP_CHARACTER, source, TagBase+1, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(1)/INTEGER(4)). m_List.fppized.f90:1838:15: 1823 | call MPI_SEND(length, 1, MP_type(length), dest, TagBase, comm, ierr) | 2 ...... 1838 | call MPI_SEND(DummStr%c(1), length, MP_CHARACTER, dest, TagBase+1, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(1)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_TraceBack.F90 -o m_TraceBack.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_TraceBack.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_TraceBack.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_AttrVect.F90 -o m_AttrVect.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AttrVect.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_AttrVect.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_GlobalMap.F90 -o m_GlobalMap.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalMap.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_GlobalMap.fppized.f90 m_GlobalMap.fppized.f90:377:17: 354 | call MPI_bcast(nPEs, 1, MP_INTEGER, my_root, my_comm, ier) | 2 ...... 377 | call MPI_bcast(GMap%counts, nPEs, MP_INTEGER, my_root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalMap.fppized.f90:257:17: 257 | call MPI_bcast(GMap%counts, nPEs, MP_INTEGER, root, comm, ier) | 1 ...... 354 | call MPI_bcast(nPEs, 1, MP_INTEGER, my_root, my_comm, ier) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_FcComms.F90 -o m_FcComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_FcComms.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_FcComms.fppized.f90 m_FcComms.fppized.f90:420:33: 420 | call mpi_irecv ( recvbuf(displs(q)+1), recvcnts(q), & | 1 ...... 563 | call mpi_irecv ( recvbuf(displs(q)+1), recvcnts(q), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:423:32: 423 | call mpi_send ( signal, 1, recvtype, p, mtag, comm, ier ) | 1 ...... 566 | call mpi_send ( signal, 1, recvtype, p, mtag, comm, ier ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:442:26: 442 | call mpi_recv ( signal, 1, sendtype, root, mtag, comm, & | 1 ...... 585 | call mpi_recv ( signal, 1, sendtype, root, mtag, comm, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:444:27: 444 | call mpi_rsend ( sendbuf, sendcnt, sendtype, root, mtag, & | 1 ...... 587 | call mpi_rsend ( sendbuf, sendcnt, sendtype, root, mtag, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:455:22: 455 | call mpi_gatherv (sendbuf, sendcnt, sendtype, & | 1 ...... 598 | call mpi_gatherv (sendbuf, sendcnt, sendtype, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:171:21: 171 | call mpi_gather (sendbuf, sendcnt, sendtype, & | 1 ...... 313 | call mpi_gather (sendbuf, sendcnt, sendtype, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_MCTWorld.F90 -o m_MCTWorld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_MCTWorld.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_MCTWorld.fppized.f90 m_MCTWorld.fppized.f90:323:22: 263 | call MPI_SEND(mysize,1,MP_INTEGER,0,myids(i),globalcomm,ier) | 2 ...... 323 | call MPI_SEND(Gprocids,mysize,MP_INTEGER,0,myids(i),globalcomm,ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_GlobalSegMap.F90 -o m_GlobalSegMap.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalSegMap.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_GlobalSegMap.fppized.f90 m_GlobalSegMap.fppized.f90:549:17: 520 | call MPI_BCAST(GSMap%ngseg, 1, MP_INTEGER, root, my_comm, ier) | 2 ...... 549 | call MPI_BCAST(GSMap%start, GSMap%ngseg, MP_INTEGER, root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMap.fppized.f90:552:17: 520 | call MPI_BCAST(GSMap%ngseg, 1, MP_INTEGER, root, my_comm, ier) | 2 ...... 552 | call MPI_BCAST(GSMap%length, GSMap%ngseg, MP_INTEGER, root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMap.fppized.f90:555:17: 520 | call MPI_BCAST(GSMap%ngseg, 1, MP_INTEGER, root, my_comm, ier) | 2 ...... 555 | call MPI_BCAST(GSMap%pe_loc, GSMap%ngseg, MP_INTEGER, root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_AttrVectComms.F90 -o m_AttrVectComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AttrVectComms.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_AttrVectComms.fppized.f90 m_AttrVectComms.fppized.f90:1657:20: 1570 | call MPI_bcast(nIA,1,MP_INTEGER,root,comm,ier) | 2 ...... 1657 | call MPI_bcast(aV%iAttr,nIA*lsize,mp_Type_aV,root,comm,ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) m_AttrVectComms.fppized.f90:1669:20: 1570 | call MPI_bcast(nIA,1,MP_INTEGER,root,comm,ier) | 2 ...... 1669 | call MPI_bcast(aV%rAttr,nRA*lsize,mp_Type_aV,root,comm,ier) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_AttrVectComms.fppized.f90:1070:26: 1041 | call MPI_scatterv(iV%iAttr(1,1),GMap%counts*nIA, & | 2 ...... 1070 | call MPI_scatterv(iV%rAttr(1,1),GMap%counts*nRA, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_AttrVectComms.fppized.f90:356:16: 319 | call MPI_RECV(ListAssoc, 2, MP_LOGICAL, dest, TagBase, comm, & | 2 ...... 356 | call MPI_RECV(AVlength, 1, MP_type(AVlength), dest, TagBase+5, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). m_AttrVectComms.fppized.f90:206:16: 163 | call MPI_SEND(ListAssoc, 2, MP_LOGICAL, dest, TagBase, comm, ierr) | 2 ...... 206 | call MPI_SEND(AVlength, 1, MP_type(AVlength), dest, TagBase+5, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrix.F90 -o m_SparseMatrix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrix.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_SparseMatrix.fppized.f90 m_SparseMatrix.fppized.f90:2374:21: 2344 | call MPI_ALLREDUCE(end_row, num_rows, 1, MP_INTEGER, MP_MAX, & | 2 ...... 2374 | call MPI_ALLREDUCE(lsums, gsums, num_rows, mp_Type_lsums, MP_SUM, comm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixDecomp.F90 -o m_SparseMatrixDecomp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixDecomp.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_SparseMatrixDecomp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixComms.F90 -o m_SparseMatrixComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixComms.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_SparseMatrixComms.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Navigator.F90 -o m_Navigator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Navigator.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_Navigator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_GlobalToLocal.F90 -o m_GlobalToLocal.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalToLocal.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_GlobalToLocal.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixToMaps.F90 -o m_SparseMatrixToMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixToMaps.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_SparseMatrixToMaps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_ConvertMaps.F90 -o m_ConvertMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_ConvertMaps.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_ConvertMaps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_ExchangeMaps.F90 -o m_ExchangeMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_ExchangeMaps.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_ExchangeMaps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Router.F90 -o m_Router.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Router.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_Router.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Rearranger.F90 -o m_Rearranger.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Rearranger.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_Rearranger.fppized.f90 m_Rearranger.fppized.f90:926:23: 899 | call MPI_IRECV(IRecvBuf(IRecvLoc(proc)), & | 2 ...... 926 | call MPI_IRECV(RRecvBuf(RRecvLoc(proc)), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_Rearranger.fppized.f90:1014:23: 987 | call MPI_ISEND(ISendBuf(ISendLoc(proc)), & | 2 ...... 1014 | call MPI_ISEND(RSendBuf(RSendLoc(proc)), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_Rearranger.fppized.f90:1093:24: 1087 | call MPI_Alltoallv(ISendBuf, ISendCnts, ISdispls, MP_INTEGER, & | 2 ...... 1093 | call MPI_Alltoallv(RSendBuf, RSendCnts, RSdispls, mp_Type_rp, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixPlus.F90 -o m_SparseMatrixPlus.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixPlus.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_SparseMatrixPlus.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_GeneralGrid.F90 -o m_GeneralGrid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GeneralGrid.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_GeneralGrid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Transfer.F90 -o m_Transfer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Transfer.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_Transfer.fppized.f90 m_Transfer.fppized.f90:551:23: 526 | call MPI_IRECV(Rout%ip1(proc)%pi(1), & | 2 ...... 551 | call MPI_IRECV(Rout%rp1(proc)%pr(1), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_Transfer.fppized.f90:256:22: 240 | call MPI_ISEND(Rout%ip1(proc)%pi(1), & | 2 ...... 256 | call MPI_ISEND(Rout%rp1(proc)%pr(1), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_inpak90.F90 -o m_inpak90.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_inpak90.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_inpak90.fppized.f90 m_inpak90.fppized.f90:369:17: 362 | call MPI_Bcast(i90_now%buffer,NBUF_MAX,MP_CHARACTER,root,comm,ier) | 2 ...... 369 | call MPI_Bcast(i90_now%nbuf,1,MP_INTEGER,root,comm,ier) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/CHARACTER(*)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_GlobalSegMapComms.F90 -o m_GlobalSegMapComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalSegMapComms.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_GlobalSegMapComms.fppized.f90 m_GlobalSegMapComms.fppized.f90:258:17: 238 | call MPI_ISEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 258 | call MPI_ISEND(outgoingGSMap%start, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:265:17: 238 | call MPI_ISEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 265 | call MPI_ISEND(outgoingGSMap%length, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:272:17: 238 | call MPI_ISEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 272 | call MPI_ISEND(outgoingGSMap%pe_loc, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:133:16: 112 | call MPI_SEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 133 | call MPI_SEND(outgoingGSMap%start, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:140:16: 112 | call MPI_SEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 140 | call MPI_SEND(outgoingGSMap%length, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:147:16: 112 | call MPI_SEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 147 | call MPI_SEND(outgoingGSMap%pe_loc, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Accumulator.F90 -o m_Accumulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Accumulator.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_Accumulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_MatAttrVectMul.F90 -o m_MatAttrVectMul.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_MatAttrVectMul.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_MatAttrVectMul.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_GeneralGridComms.F90 -o m_GeneralGridComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GeneralGridComms.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_GeneralGridComms.fppized.f90 m_GeneralGridComms.fppized.f90:1369:20: 1281 | call MPI_BCAST(HeaderAssoc,6,MP_LOGICAL,root,comm,ierr) | 2 ...... 1369 | call MPI_BCAST(DescendSize, 1, MP_INTEGER, root, comm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). m_GeneralGridComms.fppized.f90:476:19: 424 | call MPI_RECV(HeaderAssoc, 6, MP_LOGICAL, source, TagBase, ThisMCTWorld%MCT_comm, MPstatus, ierr) | 2 ...... 476 | call MPI_RECV(DescendSize, 1, MP_type(DescendSize), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). m_GeneralGridComms.fppized.f90:210:19: 156 | call MPI_SEND(HeaderAssoc, 6, MP_LOGICAL, dest, TagBase, ThisMCTWorld%MCT_comm, ierr) | 2 ...... 210 | call MPI_SEND(size(iGGrid%descend), 1, MP_type(size(iGGrid%descend)), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mct_mod.F90 -o mct_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mct_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_comm_mct.F90 -o seq_comm_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_comm_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_comm_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_drydep_mod.F90 -o seq_drydep_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_drydep_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_drydep_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_flds_mod.F90 -o seq_flds_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_flds_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_flds_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_io_mod.F90 -o seq_io_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_io_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_io_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_pio_utils.F90 -o cam_pio_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_pio_utils.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cam_pio_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 sat_hist.F90 -o sat_hist.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sat_hist.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp sat_hist.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 solar_data.F90 -o solar_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o solar_data.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp solar_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_orb_mod.F90 -o shr_orb_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_orb_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_orb_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_tInterp_mod.F90 -o shr_tInterp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_tInterp_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_tInterp_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_stream_mod.F90 -o shr_stream_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_stream_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_stream_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_pcdf_mod.F90 -o shr_pcdf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_pcdf_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_pcdf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_mct_mod.F90 -o shr_mct_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_mct_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_map_mod.F90 -o shr_map_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_map_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_map_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_ncread_mod.F90 -o shr_ncread_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_ncread_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_ncread_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_dmodel_mod.F90 -o shr_dmodel_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_dmodel_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_dmodel_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_strdata_mod.F90 -o shr_strdata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_strdata_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_strdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_scam_mod.F90 -o shr_scam_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_scam_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_scam_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 wrap_nf.F90 -o wrap_nf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrap_nf.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrap_nf.fppized.f90 wrap_nf.fppized.f90:1874:38: 1874 | ret = nf_get_var_int (nfid, varid, arr) | 1 ...... 1899 | ret = nf_get_var_int (nfid, varid, x) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) wrap_nf.fppized.f90:1799:41: 1799 | ret = nf_get_var_double (nfid, varid, arr) | 1 ...... 1849 | ret = nf_get_var_double (nfid, varid, x) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 scamMod.F90 -o scamMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o scamMod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp scamMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 error_messages.F90 -o error_messages.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o error_messages.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp error_messages.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 interpolate_data.F90 -o interpolate_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o interpolate_data.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp interpolate_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 geopotential.F90 -o geopotential.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o geopotential.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp geopotential.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ref_pres.F90 -o ref_pres.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ref_pres.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ref_pres.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_control.F90 -o phys_control.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_control.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp phys_control.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 physics_types.F90 -o physics_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o physics_types.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp physics_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 time_utils.F90 -o time_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o time_utils.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp time_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 repro_sum_mod.F90 -o repro_sum_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o repro_sum_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp repro_sum_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_gmean.F90 -o phys_gmean.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_gmean.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp phys_gmean.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mo_constants.F90 -o mo_constants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mo_constants.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mo_constants.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_types.F90 -o m_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_types.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mo_flbc.F90 -o mo_flbc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mo_flbc.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mo_flbc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 chem_surfvals.F90 -o chem_surfvals.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o chem_surfvals.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp chem_surfvals.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_history_buffers.F90 -o cam_history_buffers.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_history_buffers.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cam_history_buffers.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 filenames.F90 -o filenames.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o filenames.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp filenames.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_share.F90 -o cosp_share.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_share.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cosp_share.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 interp_mod.F90 -o interp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o interp_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp interp_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_history.F90 -o cam_history.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_history.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cam_history.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 advect_tend.F90 -o advect_tend.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o advect_tend.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp advect_tend.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_buffer.F90 -o phys_buffer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_buffer.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp phys_buffer.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ghg_data.F90 -o ghg_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ghg_data.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ghg_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radconstants.F90 -o radconstants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radconstants.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp radconstants.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radae.F90 -o radae.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radae.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp radae.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 quicksort.F90 -o quicksort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o quicksort.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp quicksort.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radlw.F90 -o radlw.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radlw.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp radlw.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pkg_cldoptics.F90 -o pkg_cldoptics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pkg_cldoptics.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pkg_cldoptics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_debug_util.F90 -o phys_debug_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_debug_util.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp phys_debug_util.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 conv_water.F90 -o conv_water.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o conv_water.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp conv_water.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 param_cldoptics.F90 -o param_cldoptics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o param_cldoptics.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp param_cldoptics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mo_util.F90 -o mo_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mo_util.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mo_util.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 rad_solar_var.F90 -o rad_solar_var.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rad_solar_var.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp rad_solar_var.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cmparray_mod.F90 -o cmparray_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cmparray_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cmparray_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radsw.F90 -o radsw.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radsw.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp radsw.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_prop.F90 -o phys_prop.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_prop.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp phys_prop.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 rad_constituents.F90 -o rad_constituents.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rad_constituents.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp rad_constituents.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 wv_saturation.F90 -o wv_saturation.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wv_saturation.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wv_saturation.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 modal_aer_opt.F90 -o modal_aer_opt.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o modal_aer_opt.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp modal_aer_opt.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 aer_rad_props.F90 -o aer_rad_props.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o aer_rad_props.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp aer_rad_props.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 camsrfexch_types.F90 -o camsrfexch_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o camsrfexch_types.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp camsrfexch_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radiation_data.F90 -o radiation_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radiation_data.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp radiation_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_constants.F90 -o cosp_constants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_constants.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cosp_constants.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_utils.F90 -o cosp_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_utils.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cosp_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radar_simulator_types.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp radar_simulator_types.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_types.F90 -o cosp_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_types.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cosp_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 modis_simulator.F90 -o modis_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o modis_simulator.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp modis_simulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_modis_simulator.F90 -o cosp_modis_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_modis_simulator.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cosp_modis_simulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_lidar.F90 -o cosp_lidar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_lidar.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cosp_lidar.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_misr_simulator.F90 -o cosp_misr_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_misr_simulator.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cosp_misr_simulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 llnl_stats.F90 -o llnl_stats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o llnl_stats.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp llnl_stats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 lmd_ipsl_stats.F90 -o lmd_ipsl_stats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lmd_ipsl_stats.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp lmd_ipsl_stats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_stats.F90 -o cosp_stats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_stats.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cosp_stats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o atmos_lib.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp atmos_lib.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrgrnk.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mrgrnk.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o array_lib.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp array_lib.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o format_input.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp format_input.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o math_lib.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp math_lib.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o optics_lib.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp optics_lib.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_radar.F90 -o cosp_radar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_radar.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cosp_radar.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_isccp_simulator.F90 -o cosp_isccp_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_isccp_simulator.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cosp_isccp_simulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp_simulator.F90 -o cosp_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp_simulator.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cosp_simulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cosp.F90 -o cosp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cosp.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cosp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cospsimulator_intr.F90 -o cospsimulator_intr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cospsimulator_intr.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cospsimulator_intr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 chemistry.F90 -o chemistry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o chemistry.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp chemistry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radheat.F90 -o radheat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radheat.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp radheat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 radiation.F90 -o radiation.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radiation.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp radiation.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 horizontal_interpolate.F90 -o horizontal_interpolate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o horizontal_interpolate.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp horizontal_interpolate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 polar_avg.F90 -o polar_avg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o polar_avg.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp polar_avg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tracer_data.F90 -o tracer_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tracer_data.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp tracer_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 prescribed_ghg.F90 -o prescribed_ghg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prescribed_ghg.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp prescribed_ghg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 prescribed_aero.F90 -o prescribed_aero.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prescribed_aero.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp prescribed_aero.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 trb_mtn_stress.F90 -o trb_mtn_stress.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o trb_mtn_stress.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp trb_mtn_stress.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 phys_debug.F90 -o phys_debug.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o phys_debug.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp phys_debug.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 hb_diff.F90 -o hb_diff.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hb_diff.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp hb_diff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 upper_bc.F90 -o upper_bc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o upper_bc.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp upper_bc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 molec_diff.F90 -o molec_diff.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o molec_diff.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp molec_diff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 diffusion_solver.F90 -o diffusion_solver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diffusion_solver.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp diffusion_solver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 eddy_diff.F90 -o eddy_diff.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o eddy_diff.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp eddy_diff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 vertical_diffusion.F90 -o vertical_diffusion.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vertical_diffusion.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp vertical_diffusion.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 boundarydata.F90 -o boundarydata.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o boundarydata.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp boundarydata.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam3_ozone_data.F90 -o cam3_ozone_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam3_ozone_data.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cam3_ozone_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 aoa_tracers.F90 -o aoa_tracers.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o aoa_tracers.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp aoa_tracers.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 iondrag.F90 -o iondrag.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o iondrag.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp iondrag.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam3_aero_data.F90 -o cam3_aero_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam3_aero_data.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cam3_aero_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tracers_suite.F90 -o tracers_suite.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tracers_suite.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp tracers_suite.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tracers.F90 -o tracers.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tracers.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp tracers.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tropopause.F90 -o tropopause.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tropopause.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp tropopause.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 prescribed_volcaero.F90 -o prescribed_volcaero.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prescribed_volcaero.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp prescribed_volcaero.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 prescribed_ozone.F90 -o prescribed_ozone.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prescribed_ozone.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp prescribed_ozone.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 aircraft_emit.F90 -o aircraft_emit.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o aircraft_emit.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp aircraft_emit.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 modal_aero_deposition.F90 -o modal_aero_deposition.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o modal_aero_deposition.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp modal_aero_deposition.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 aerodep_flx.F90 -o aerodep_flx.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o aerodep_flx.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp aerodep_flx.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 advnce.F90 -o advnce.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o advnce.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp advnce.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 drydep_mod.F90 -o drydep_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o drydep_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp drydep_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 wetdep.F90 -o wetdep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wetdep.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wetdep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dust_sediment_mod.F90 -o dust_sediment_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dust_sediment_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp dust_sediment_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dust_intr.F90 -o dust_intr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 error_function.F90 -o error_function.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o error_function.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp error_function.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dust_intr.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp dust_intr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 progseasalts_intr.F90 -o progseasalts_intr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o progseasalts_intr.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp progseasalts_intr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 scyc.F90 -o scyc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o scyc.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp scyc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 aerosol_intr.F90 -o aerosol_intr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o aerosol_intr.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp aerosol_intr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 history_scam.F90 -o history_scam.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o history_scam.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp history_scam.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 xpavg_mod.F90 -o xpavg_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o xpavg_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp xpavg_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ncdio_atm.F90 -o ncdio_atm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ncdio_atm.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ncdio_atm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 metdata.F90 -o metdata.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o metdata.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp metdata.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 co2_data_flux.F90 -o co2_data_flux.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o co2_data_flux.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp co2_data_flux.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 co2_cycle.F90 -o co2_cycle.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o co2_cycle.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp co2_cycle.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 buffer.F90 -o buffer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o buffer.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp buffer.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 comsrf.F90 -o comsrf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o comsrf.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp comsrf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 restart_physics.F90 -o restart_physics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o restart_physics.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp restart_physics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pfixer.F90 -o pfixer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pfixer.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pfixer.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ctem.F90 -o ctem.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ctem.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ctem.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 diag_module.F90 -o diag_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diag_module.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp diag_module.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dyn_comp.F90 -o dyn_comp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dyn_comp.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp dyn_comp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dyn_internal_state.F90 -o dyn_internal_state.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dyn_internal_state.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp dyn_internal_state.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 restart_dynamics.F90 -o restart_dynamics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o restart_dynamics.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp restart_dynamics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_restart.F90 -o cam_restart.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_restart.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cam_restart.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 readinitial.F90 -o readinitial.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o readinitial.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp readinitial.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 history_defaults.F90 -o history_defaults.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o history_defaults.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp history_defaults.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 uw_conv.F90 -o uw_conv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o uw_conv.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp uw_conv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 uwshcu.F90 -o uwshcu.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o uwshcu.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp uwshcu.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cldwat.F90 -o cldwat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cldwat.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cldwat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 zm_conv.F90 -o zm_conv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zm_conv.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp zm_conv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 hk_conv.F90 -o hk_conv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hk_conv.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp hk_conv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 convect_shallow.F90 -o convect_shallow.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o convect_shallow.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp convect_shallow.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cloud_fraction.F90 -o cloud_fraction.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cloud_fraction.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cloud_fraction.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cldwat2m_macro.F90 -o cldwat2m_macro.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cldwat2m_macro.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cldwat2m_macro.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ndrop.F90 -o ndrop.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ndrop.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ndrop.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cldwat2m_micro.F90 -o cldwat2m_micro.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cldwat2m_micro.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cldwat2m_micro.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 microp_aero.F90 -o microp_aero.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o microp_aero.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp microp_aero.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 microp_driver.F90 -o microp_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o microp_driver.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp microp_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pkg_cld_sediment.F90 -o pkg_cld_sediment.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pkg_cld_sediment.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pkg_cld_sediment.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 stratiform.F90 -o stratiform.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o stratiform.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp stratiform.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 inidat.F90 -o inidat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o inidat.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp inidat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 startup_initialconds.F90 -o startup_initialconds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o startup_initialconds.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp startup_initialconds.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 inital.F90 -o inital.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o inital.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp inital.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 check_energy.F90 -o check_energy.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o check_energy.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp check_energy.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dp_coupling.F90 -o dp_coupling.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dp_coupling.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp dp_coupling.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 fv_prints.F90 -o fv_prints.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fv_prints.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp fv_prints.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 stepon.F90 -o stepon.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o stepon.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp stepon.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ionosphere.F90 -o ionosphere.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ionosphere.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ionosphere.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cloud_diagnostics.F90 -o cloud_diagnostics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cloud_diagnostics.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cloud_diagnostics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cloud_rad_props.F90 -o cloud_rad_props.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cloud_rad_props.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cloud_rad_props.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tidal_diag.F90 -o tidal_diag.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tidal_diag.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp tidal_diag.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 constituent_burden.F90 -o constituent_burden.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o constituent_burden.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp constituent_burden.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_diagnostics.F90 -o cam_diagnostics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_diagnostics.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cam_diagnostics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 flux_avg.F90 -o flux_avg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o flux_avg.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp flux_avg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 macrop_driver.F90 -o macrop_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o macrop_driver.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp macrop_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 gw_drag.F90 -o gw_drag.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gw_drag.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp gw_drag.fppized.f90 gw_drag.fppized.f90:761:17: 759 | do l = 1, ngwv | 2 760 | do i = 1, ncol 761 | tau(i, l,kbot) = tauback(i) * exp(-(c(l)/30._r8)**2) | 1 Warning: Array reference at (1) out of bounds (1 > 0) in loop beginning at (2) gw_drag.fppized.f90:761:48: 759 | do l = 1, ngwv | 2 760 | do i = 1, ncol 761 | tau(i, l,kbot) = tauback(i) * exp(-(c(l)/30._r8)**2) | 1 Warning: Array reference at (1) out of bounds (1 > 0) in loop beginning at (2) gw_drag.fppized.f90:762:16: 759 | do l = 1, ngwv | 2 ...... 762 | tau(i,-l,kbot) = tau(i, l,kbot) | 1 Warning: Array reference at (1) out of bounds (-1 < 0) in loop beginning at (2) gw_drag.fppized.f90:762:34: 759 | do l = 1, ngwv | 2 ...... 762 | tau(i,-l,kbot) = tau(i, l,kbot) | 1 Warning: Array reference at (1) out of bounds (1 > 0) in loop beginning at (2) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 zm_conv_intr.F90 -o zm_conv_intr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zm_conv_intr.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp zm_conv_intr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 convect_deep.F90 -o convect_deep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o convect_deep.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp convect_deep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 rayleigh_friction.F90 -o rayleigh_friction.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rayleigh_friction.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp rayleigh_friction.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 majorsp_diffusion.F90 -o majorsp_diffusion.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o majorsp_diffusion.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp majorsp_diffusion.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 sslt_rebin.F90 -o sslt_rebin.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sslt_rebin.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp sslt_rebin.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 physpkg.F90 -o physpkg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o physpkg.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp physpkg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_comp.F90 -o cam_comp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_comp.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cam_comp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_infodata_mod.F90 -o seq_infodata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_infodata_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_infodata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_cdata_mod.F90 -o seq_cdata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_cdata_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_cdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 runtime_opts.F90 -o runtime_opts.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o runtime_opts.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp runtime_opts.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cam_cpl_indices.F90 -o cam_cpl_indices.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cam_cpl_indices.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cam_cpl_indices.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_timemgr_mod.F90 -o seq_timemgr_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_timemgr_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_timemgr_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 atm_comp_mct.F90 -o atm_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o atm_comp_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp atm_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 benergy.F90 -o benergy.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o benergy.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp benergy.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 binary_io.F90 -o binary_io.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o binary_io.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp binary_io.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 bnddyi.F90 -o bnddyi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bnddyi.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp bnddyi.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_flds_indices.F90 -o seq_flds_indices.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_flds_indices.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_flds_indices.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_diag_mct.F90 -o seq_diag_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_diag_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_diag_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_rearr_mod.F90 -o seq_rearr_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_rearr_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_rearr_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_ocnocn_mct.F90 -o map_ocnocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_ocnocn_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp map_ocnocn_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mrg_x2s_mct.F90 -o mrg_x2s_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2s_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mrg_x2s_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ice_comp_mct.F90 -o ice_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ice_comp_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ice_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mrg_x2o_mct.F90 -o mrg_x2o_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2o_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mrg_x2o_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_avdata_mod.F90 -o seq_avdata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_avdata_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_avdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_hist_mod.F90 -o seq_hist_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_hist_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_hist_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mrg_x2a_mct.F90 -o mrg_x2a_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2a_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mrg_x2a_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_iceocn_mct.F90 -o map_iceocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_iceocn_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp map_iceocn_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_map_mod.F90 -o seq_map_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_map_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_map_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_atmlnd_mct.F90 -o map_atmlnd_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmlnd_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp map_atmlnd_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_atmice_mct.F90 -o map_atmice_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmice_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp map_atmice_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_snoglc_mct.F90 -o map_snoglc_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_snoglc_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp map_snoglc_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_atmocn_mct.F90 -o map_atmocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmocn_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp map_atmocn_mct.fppized.f90 map_atmocn_mct.fppized.f90:1060:24: 940 | call MPI_ALLREDUCE(rarray,ilat1,num,MPI_REAL8,MPI_SUM,mpicom,rcode) | 2 ...... 1060 | call MPI_ALLREDUCE(cntfound,cntf_tot,1,MPI_INTEGER,MPI_SUM,mpicom,rcode) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_domain_mct.F90 -o seq_domain_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_domain_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_domain_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_rest_mod.F90 -o seq_rest_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_rest_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_rest_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mrg_x2l_mct.F90 -o mrg_x2l_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2l_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mrg_x2l_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_frac_mct.F90 -o seq_frac_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_frac_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_frac_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_flux_mod.F90 -o shr_flux_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_flux_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_flux_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 seq_flux_mct.F90 -o seq_flux_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_flux_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp seq_flux_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_lndlnd_mct.F90 -o map_lndlnd_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_lndlnd_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp map_lndlnd_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_iceice_mct.F90 -o map_iceice_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_iceice_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp map_iceice_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_glcglc_mct.F90 -o map_glcglc_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_glcglc_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp map_glcglc_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 lnd_comp_mct.F90 -o lnd_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lnd_comp_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp lnd_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ocn_types.F90 -o ocn_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocn_types.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ocn_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ocn_comp.F90 -o ocn_comp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocn_comp.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ocn_comp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ocn_comp_mct.F90 -o ocn_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocn_comp_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ocn_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_rofrof_mct.F90 -o map_rofrof_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_rofrof_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp map_rofrof_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_atmatm_mct.F90 -o map_atmatm_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmatm_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp map_atmatm_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_rofocn_mct.F90 -o map_rofocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_rofocn_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp map_rofocn_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_mem_mod.F90 -o shr_mem_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_mem_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_mem_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 map_snosno_mct.F90 -o map_snosno_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_snosno_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp map_snosno_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mrg_x2g_mct.F90 -o mrg_x2g_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2g_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mrg_x2g_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mrg_x2i_mct.F90 -o mrg_x2i_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2i_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mrg_x2i_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 glc_comp_mct.F90 -o glc_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o glc_comp_mct.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp glc_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ccsm_comp_mod.F90 -o ccsm_comp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ccsm_comp_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ccsm_comp_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 ccsm_driver.F90 -o ccsm_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ccsm_driver.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ccsm_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tp_core.F90 -o tp_core.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tp_core.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp tp_core.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 sw_core.F90 -o sw_core.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sw_core.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp sw_core.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cd_core.F90 -o cd_core.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cd_core.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cd_core.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cldsav.F90 -o cldsav.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cldsav.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cldsav.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o collective.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 collective.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o comm.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 comm.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 comspe.F90 -o comspe.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o comspe.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp comspe.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 cpslec.F90 -o cpslec.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cpslec.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cpslec.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 d2a3dijk.F90 -o d2a3dijk.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d2a3dijk.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp d2a3dijk.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 d2a3dikj.F90 -o d2a3dikj.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o d2a3dikj.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp d2a3dikj.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dadadj.F90 -o dadadj.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dadadj.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp dadadj.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 datetime.F90 -o datetime.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o datetime.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp datetime.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 diag_dynvar_ic.F90 -o diag_dynvar_ic.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diag_dynvar_ic.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp diag_dynvar_ic.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mean_module.F90 -o mean_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mean_module.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mean_module.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 dryairm.F90 -o dryairm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dryairm.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp dryairm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dsd.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp dsd.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mapz_module.F90 -o mapz_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mapz_module.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mapz_module.fppized.f90 mapz_module.fppized.f90:957:19: 957 | do 500 i=1,im | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 500 at (1) mapz_module.fppized.f90:958:39: 958 | 500 a6(i,k) = delp(i,k-1) + delp(i,k) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 500 at (1) mapz_module.fppized.f90:961:20: 961 | do 1000 i=1,im | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1000 at (1) mapz_module.fppized.f90:966:20: 966 | do 1220 i=1,im | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 1220 at (1) mapz_module.fppized.f90:981:18: 981 | do 12 i=1,im | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 12 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 epvd.F90 -o epvd.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o epvd.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp epvd.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 esinti.F90 -o esinti.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o esinti.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp esinti.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o f_wrappers.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 f_wrappers.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 fft99.F90 -o fft99.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fft99.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp fft99.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 fill_module.F90 -o fill_module.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fill_module.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp fill_module.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 fort.F90 -o fort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fort.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp fort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gases.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp gases.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 gauaw_mod.F90 -o gauaw_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gauaw_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp gauaw_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 geopk.F90 -o geopk.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o geopk.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp geopk.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o get_zeits.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 get_zeits.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 gffgch.F90 -o gffgch.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gffgch.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp gffgch.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gptl.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gptl.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o gptl_papi.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 gptl_papi.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o group.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 group.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o handles.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 handles.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hirsbtpar.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp hirsbtpar.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hirsbt.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp hirsbt.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 icarus.F90 -o icarus.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o icarus.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp icarus.fppized.f90 icarus.fppized.f90:1089:21: 1089 | do 38 ilev2=1,7 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 38 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 initcom.F90 -o initcom.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o initcom.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp initcom.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 initindx.F90 -o initindx.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o initindx.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp initindx.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 intp_util.F90 -o intp_util.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o intp_util.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp intp_util.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 iop_surf.F90 -o iop_surf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o iop_surf.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp iop_surf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 lidar_simulator.F90 -o lidar_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lidar_simulator.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp lidar_simulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o list.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 list.c list.c: In function ‘AP_list_print’: list.c:383:20: warning: cast from pointer to integer of different size [-Wpointer-to-int-cast] 383 | printf("%d ",(int)cur->data); | ^ list.c: In function ‘AP_list_revprint’: list.c:410:20: warning: cast from pointer to integer of different size [-Wpointer-to-int-cast] 410 | printf("%d ",(int)cur->data); | ^ /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o load_hydrometeor_classes.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp load_hydrometeor_classes.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_AccumulatorComms.F90 -o m_AccumulatorComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AccumulatorComms.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_AccumulatorComms.fppized.f90 m_AccumulatorComms.fppized.f90:713:17: 677 | call MPI_BCAST(AccBuffSize, 1, MP_INTEGER, root, comm, ier) | 2 ...... 713 | call MPI_BCAST(AccBuff, AccBuffSize, MP_INTEGER, root, comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_AttrVectReduce.F90 -o m_AttrVectReduce.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AttrVectReduce.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_AttrVectReduce.fppized.f90 m_AttrVectReduce.fppized.f90:535:24: 503 | call MPI_AllReduce(inAV%rAttr, outAV%rAttr, BufferSize, & | 2 ...... 535 | call MPI_AllReduce(inAV%iAttr, outAV%iAttr, BufferSize, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_StrTemplate.F90 -o m_StrTemplate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_StrTemplate.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_StrTemplate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_FileResolv.F90 -o m_FileResolv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_FileResolv.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_FileResolv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Filename.F90 -o m_Filename.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Filename.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_Filename.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_Merge.F90 -o m_Merge.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Merge.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_Merge.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SpatialIntegralV.F90 -o m_SpatialIntegralV.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SpatialIntegralV.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_SpatialIntegralV.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_SpatialIntegral.F90 -o m_SpatialIntegral.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SpatialIntegral.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_SpatialIntegral.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 m_zeit.F90 -o m_zeit.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_zeit.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp m_zeit.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 marsaglia.F90 -o marsaglia.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o marsaglia.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp marsaglia.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mcshallow.F90 -o mcshallow.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mcshallow.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mcshallow.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_quicksort.F90 -o pio_quicksort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_quicksort.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pio_quicksort.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mct_rearrange.F90 -o mct_rearrange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mct_rearrange.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mct_rearrange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 miesubs.F90 -o miesubs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o miesubs.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp miesubs.fppized.f90 miesubs.fppized.f90:627:27: 627 | do 5 l = 0, nmom | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 5 at (1) miesubs.fppized.f90:1288:30: 1288 | do 20 j = 1, 4 | 1 Warning: Fortran 2018 deleted feature: Shared DO termination label 20 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mo_msis_ubc.F90 -o mo_msis_ubc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mo_msis_ubc.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mo_msis_ubc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mo_regrider.F90 -o mo_regrider.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mo_regrider.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mo_regrider.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 mo_solar_parms.F90 -o mo_solar_parms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mo_solar_parms.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mo_solar_parms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mpi.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 mpi.c In file included from mpi.c:3: mpi.c:23:12: warning: return type defaults to ‘int’ [-Wimplicit-int] 23 | FORT_NAME( mpi_init_fort , MPI_INIT_FORT) | ^~~~~~~~~~~~~ include/mpiP.h:49:32: note: in definition of macro ‘FORT_NAME’ 49 | #define FORT_NAME(lower,upper) lower##_ | ^~~~~ mpi.c:179:12: warning: return type defaults to ‘int’ [-Wimplicit-int] 179 | FORT_NAME( mpi_finalize, MPI_FINALIZE )(int *ierror) | ^~~~~~~~~~~~ include/mpiP.h:49:32: note: in definition of macro ‘FORT_NAME’ 49 | #define FORT_NAME(lower,upper) lower##_ | ^~~~~ mpi.c:207:12: warning: return type defaults to ‘int’ [-Wimplicit-int] 207 | FORT_NAME( mpi_abort , MPI_ABORT )(int *comm, int *errorcode, int *ierror) | ^~~~~~~~~ include/mpiP.h:49:32: note: in definition of macro ‘FORT_NAME’ 49 | #define FORT_NAME(lower,upper) lower##_ | ^~~~~ mpi.c:225:12: warning: return type defaults to ‘int’ [-Wimplicit-int] 225 | FORT_NAME( mpi_error_string , MPI_ERROR_STRING) | ^~~~~~~~~~~~~~~~ include/mpiP.h:49:32: note: in definition of macro ‘FORT_NAME’ 49 | #define FORT_NAME(lower,upper) lower##_ | ^~~~~ mpi.c:245:12: warning: return type defaults to ‘int’ [-Wimplicit-int] 245 | FORT_NAME( mpi_get_processor_name , MPI_GET_PROCESSOR_NAME ) | ^~~~~~~~~~~~~~~~~~~~~~ include/mpiP.h:49:32: note: in definition of macro ‘FORT_NAME’ 49 | #define FORT_NAME(lower,upper) lower##_ | ^~~~~ mpi.c:275:12: warning: return type defaults to ‘int’ [-Wimplicit-int] 275 | FORT_NAME( mpi_initialized , MPI_INITIALIZED )(int *flag, int *ierror) | ^~~~~~~~~~~~~~~ include/mpiP.h:49:32: note: in definition of macro ‘FORT_NAME’ 49 | #define FORT_NAME(lower,upper) lower##_ | ^~~~~ /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 msise00.F90 -o msise00.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o msise00.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp msise00.fppized.f90 msise00.fppized.f90:1511:35: 1511 | 50 TINF = TINF + ABS(SW(I))*T(I) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 50 at (1) msise00.fppized.f90:1698:27: 1698 | 50 TT=TT+ABS(SW(I))*T(I) | 1 Warning: Fortran 2018 deleted feature: DO termination statement which is not END DO or CONTINUE with label 50 at (1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 p_d_adjust.F90 -o p_d_adjust.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o p_d_adjust.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp p_d_adjust.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pack.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 pack.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 par_vecsum.F90 -o par_vecsum.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o par_vecsum.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp par_vecsum.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 par_xsum.F90 -o par_xsum.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o par_xsum.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp par_xsum.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pf_to_mr.F90 -o pf_to_mr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pf_to_mr.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pf_to_mr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_msg_callbacks.F90 -o pio_msg_callbacks.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_msg_callbacks.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pio_msg_callbacks.fppized.f90 pio_msg_callbacks.fppized.f90:87:17: 19 | call mpi_bcast(fh, 1, mpi_integer, iosystem%compmaster, iosystem%intercomm, ierr) | 2 ...... 87 | call mpi_bcast(fname, char_len, mpi_character, iosystem%compmaster, iosystem%intercomm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(360)/INTEGER(4)). pio_msg_callbacks.fppized.f90:152:17: 19 | call mpi_bcast(fh, 1, mpi_integer, iosystem%compmaster, iosystem%intercomm, ierr) | 2 ...... 152 | call mpi_bcast(dims(1:dims_size), dims_size, mpi_integer, iosystem%compmaster, iosystem%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_msg_getput_callbacks.F90 -o pio_msg_getput_callbacks.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_msg_getput_callbacks.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pio_msg_getput_callbacks.fppized.f90 pio_msg_getput_callbacks.fppized.f90:46:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 46 | call mpi_bcast(index, size_index, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:104:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 104 | call mpi_bcast(start, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:105:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 105 | call mpi_bcast(count, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:107:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 107 | call MPI_BCAST(dims,1,MPI_INTEGER,ios%CompMaster, ios%intercomm , ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:168:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 168 | call mpi_bcast(start, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:169:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 169 | call mpi_bcast(count, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:171:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 171 | call MPI_BCAST(dims,2,MPI_INTEGER,ios%CompMaster, ios%intercomm , ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:232:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 232 | call mpi_bcast(start, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:233:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 233 | call mpi_bcast(count, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:235:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 235 | call MPI_BCAST(dims,3,MPI_INTEGER,ios%CompMaster, ios%intercomm , ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:296:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 296 | call mpi_bcast(start, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:297:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 297 | call mpi_bcast(count, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:299:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 299 | call MPI_BCAST(dims,4,MPI_INTEGER,ios%CompMaster, ios%intercomm , ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:360:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 360 | call mpi_bcast(start, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:361:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 361 | call mpi_bcast(count, ndims, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:363:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 363 | call MPI_BCAST(dims,5,MPI_INTEGER,ios%CompMaster, ios%intercomm , ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:703:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 703 | call mpi_bcast(dims, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:765:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 765 | call mpi_bcast(dims, 2, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:827:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 827 | call mpi_bcast(dims, 3, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:889:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 889 | call mpi_bcast(dims, 4, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_msg_getput_callbacks.fppized.f90:951:17: 42 | call mpi_bcast(fh, 1, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 2 ...... 951 | call mpi_bcast(dims, 5, mpi_integer, ios%compmaster, ios%intercomm, ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pio_nf_utils.F90 -o pio_nf_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_nf_utils.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pio_nf_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 pkez.F90 -o pkez.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pkez.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pkez.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 prec_scops.F90 -o prec_scops.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prec_scops.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp prec_scops.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 puminterfaces.F90 -o puminterfaces.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o puminterfaces.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp puminterfaces.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 qneg3.F90 -o qneg3.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o qneg3.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp qneg3.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 qneg4.F90 -o qneg4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o qneg4.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp qneg4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radar_simulator.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp radar_simulator.f90 radar_simulator.f90:393:28: 360 | ze,zr,kr,mt_qext,mt_qbsca,xx) | 2 ...... 393 | ze,zr,kr,xxa,xxa,rhoi) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o recv.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 recv.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 redistributemodule.F90 -o redistributemodule.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o redistributemodule.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp redistributemodule.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o req.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 req.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 scops.F90 -o scops.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o scops.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp scops.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o send.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 send.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 sgexx.F90 -o sgexx.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sgexx.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp sgexx.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o shr_jlcp.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 shr_jlcp.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_msg_mod.F90 -o shr_msg_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_msg_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_msg_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o shr_vmath_fwrap.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 shr_vmath_fwrap.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 shr_vmath_mod.F90 -o shr_vmath_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_vmath_mod.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shr_vmath_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 srchutil.F90 -o srchutil.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o srchutil.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp srchutil.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 srfxfer.F90 -o srfxfer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o srfxfer.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp srfxfer.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 sulchem.F90 -o sulchem.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sulchem.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp sulchem.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 te_map.F90 -o te_map.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o te_map.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp te_map.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o threadutil.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 threadutil.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o time.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 time.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o topology.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 topology.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tphysac.F90 -o tphysac.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tphysac.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp tphysac.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tphysbc.F90 -o tphysbc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tphysbc.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp tphysbc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tphysidl.F90 -o tphysidl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tphysidl.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp tphysidl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 trac2d.F90 -o trac2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o trac2d.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp trac2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 trunc.F90 -o trunc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o trunc.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp trunc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 tsinti.F90 -o tsinti.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tsinti.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp tsinti.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 uv3s_update.F90 -o uv3s_update.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o uv3s_update.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp uv3s_update.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 virtem.F90 -o virtem.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o virtem.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp virtem.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 vrtmap.F90 -o vrtmap.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vrtmap.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp vrtmap.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 wrap_mpi.F90 -o wrap_mpi.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrap_mpi.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrap_mpi.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 wrf_error_fatal.F90 -o wrf_error_fatal.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_error_fatal.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrf_error_fatal.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 wrf_message.F90 -o wrf_message.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_message.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrf_message.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zeff.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp zeff.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 zenith.F90 -o zenith.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zenith.fppized.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp zenith.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/attr.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/attr.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/dim.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/dim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/error.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/error.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-attio.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-attio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-control.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-control.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-dim.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-dim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-genatt.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-genatt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-geninq.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-geninq.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-genvar.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-genvar.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-lib.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-lib.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-misc.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-misc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-v2compat.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-v2compat.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-var1io.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-var1io.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varaio.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varaio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-vario.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-vario.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varmio.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varmio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varsio.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varsio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/libvers.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/libvers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/nc.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/nc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/ncx.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/ncx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/posixio.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/posixio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/putget.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/putget.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/string.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/string.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/v1hpg.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/v1hpg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/v2i.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/v2i.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/var.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/var.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o spec_qsort/spec_qsort.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 spec_qsort/spec_qsort.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP ESMF_BaseMod.fppized.o ESMF_BaseTimeMod.fppized.o ESMF_FractionMod.fppized.o ESMF_CalendarMod.fppized.o ESMF_TimeIntervalMod.fppized.o ESMF_Stubs.fppized.o ESMF_TimeMod.fppized.o ESMF_AlarmMod.fppized.o ESMF_ClockMod.fppized.o ESMF_AlarmClockMod.fppized.o ESMF_Mod.fppized.o cam_logfile.fppized.o debugutilitiesmodule.fppized.o decompmodule.fppized.o shr_kind_mod.fppized.o ghostmodule.fppized.o perf_utils.fppized.o shr_log_mod.fppized.o shr_mpi_mod.fppized.o shr_sys_mod.fppized.o shr_file_mod.fppized.o string_utils.fppized.o namelist_utils.fppized.o perf_mod.fppized.o mod_comm.fppized.o parutilitiesmodule.fppized.o mpishorthand.fppized.o abortutils.fppized.o units.fppized.o spmd_utils.fppized.o fv_control_mod.fppized.o pft_module.fppized.o dynamics_vars.fppized.o FVperf_module.fppized.o GPTLget_memusage.o GPTLpri nt_memusage.o GPTLutil.o MISR_simulator.fppized.o Meat.fppized.o pmgrid.fppized.o dycore.fppized.o pio_kinds.fppized.o pio_support.fppized.o pio_types.fppized.o pio_msg_mod.fppized.o alloc_mod.fppized.o pio_utils.fppized.o nf_mod.fppized.o pionfput_mod.fppized.o pionfatt_mod.fppized.o pio_spmd_utils.fppized.o calcdisplace_mod.fppized.o box_rearrange.fppized.o rearrange.fppized.o pionfread_mod.fppized.o iompi_mod.fppized.o pionfwrite_mod.fppized.o piodarray.fppized.o ionf_mod.fppized.o calcdecomp.fppized.o pio_mpi_utils.fppized.o piolib_mod.fppized.o pionfget_mod.fppized.o pio.fppized.o shr_timer_mod.fppized.o shr_string_mod.fppized.o shr_const_mod.fppized.o shr_cal_mod.fppized.o time_manager.fppized.o ppgrid.fppized.o physconst.fppized.o constituents.fppized.o commap.fppized.o infnan.fppized.o pspect.fppized.o rgrid.fppized.o spmd_dyn.fppized.o hycoef.fppized.o dyn_grid.fppized.o m_chars.fppized.o m_flow.fppized.o m_stdio.fppized.o m_mpif.fppized.o m_realkinds.fppized.o m_mpif90.fppized.o m_dropdead.fppiz ed.o m_ioutil.fppized.o m_mpout.fppized.o m_die.fppized.o m_MergeSorts.fppized.o phys_grid.fppized.o ioFileMod.fppized.o cam_history_support.fppized.o cam_control_mod.fppized.o m_mall.fppized.o m_String.fppized.o m_rankMerge.fppized.o m_IndexBin_logical.fppized.o m_Permuter.fppized.o m_IndexBin_char.fppized.o m_IndexBin_integer.fppized.o m_SortingTools.fppized.o m_List.fppized.o m_TraceBack.fppized.o m_AttrVect.fppized.o m_GlobalMap.fppized.o m_FcComms.fppized.o m_MCTWorld.fppized.o m_GlobalSegMap.fppized.o m_AttrVectComms.fppized.o m_SparseMatrix.fppized.o m_SparseMatrixDecomp.fppized.o m_SparseMatrixComms.fppized.o m_Navigator.fppized.o m_GlobalToLocal.fppized.o m_SparseMatrixToMaps.fppized.o m_ConvertMaps.fppized.o m_ExchangeMaps.fppized.o m_Router.fppized.o m_Rearranger.fppized.o m_SparseMatrixPlus.fppized.o m_GeneralGrid.fppized.o m_Transfer.fppized.o m_inpak90.fppized.o m_GlobalSegMapComms.fppized.o m_Accumulator.fppized.o m_MatAttrVectMul.fppized.o m_GeneralGridComms.fppized.o mct_mod.fppized.o seq _comm_mct.fppized.o seq_drydep_mod.fppized.o seq_flds_mod.fppized.o seq_io_mod.fppized.o cam_pio_utils.fppized.o sat_hist.fppized.o solar_data.fppized.o shr_orb_mod.fppized.o shr_tInterp_mod.fppized.o shr_stream_mod.fppized.o shr_pcdf_mod.fppized.o shr_mct_mod.fppized.o shr_map_mod.fppized.o shr_ncread_mod.fppized.o shr_dmodel_mod.fppized.o shr_strdata_mod.fppized.o shr_scam_mod.fppized.o wrap_nf.fppized.o scamMod.fppized.o error_messages.fppized.o interpolate_data.fppized.o geopotential.fppized.o ref_pres.fppized.o phys_control.fppized.o physics_types.fppized.o time_utils.fppized.o repro_sum_mod.fppized.o phys_gmean.fppized.o mo_constants.fppized.o m_types.fppized.o mo_flbc.fppized.o chem_surfvals.fppized.o cam_history_buffers.fppized.o filenames.fppized.o cosp_share.fppized.o interp_mod.fppized.o cam_history.fppized.o advect_tend.fppized.o phys_buffer.fppized.o ghg_data.fppized.o radconstants.fppized.o radae.fppized.o quicksort.fppized.o radlw.fppized.o pkg_cldoptics.fppized.o phys_debug_util.fppized.o conv_water.fppized.o param_cldoptics.fppized.o mo_util.fppized.o rad_solar_var.fppized.o cmparray_mod.fppized.o radsw.fppized.o phys_prop.fppized.o rad_constituents.fppized.o wv_saturation.fppized.o modal_aer_opt.fppized.o aer_rad_props.fppized.o camsrfexch_types.fppized.o radiation_data.fppized.o cosp_constants.fppized.o cosp_utils.fppized.o radar_simulator_types.o cosp_types.fppized.o modis_simulator.fppized.o cosp_modis_simulator.fppized.o cosp_lidar.fppized.o cosp_misr_simulator.fppized.o llnl_stats.fppized.o lmd_ipsl_stats.fppized.o cosp_stats.fppized.o atmos_lib.o mrgrnk.o array_lib.o format_input.o math_lib.o optics_lib.o cosp_radar.fppized.o cosp_isccp_simulator.fppized.o cosp_simulator.fppized.o cosp.fppized.o cospsimulator_intr.fppized.o chemistry.fppized.o radheat.fppized.o radiation.fppized.o horizontal_interpolate.fppized.o polar_avg.fppized.o tracer_data.fppized.o prescribed_ghg.fppized.o prescribed_aero.fppized.o trb_mtn_stress.fppized.o phys_debug.fppized.o hb_diff.fppized.o upper_bc.fppiz ed.o molec_diff.fppized.o diffusion_solver.fppized.o eddy_diff.fppized.o vertical_diffusion.fppized.o boundarydata.fppized.o cam3_ozone_data.fppized.o aoa_tracers.fppized.o iondrag.fppized.o cam3_aero_data.fppized.o tracers_suite.fppized.o tracers.fppized.o tropopause.fppized.o prescribed_volcaero.fppized.o prescribed_ozone.fppized.o aircraft_emit.fppized.o modal_aero_deposition.fppized.o aerodep_flx.fppized.o advnce.fppized.o drydep_mod.fppized.o wetdep.fppized.o dust_sediment_mod.fppized.o dust_intr.fppized.o progseasalts_intr.fppized.o scyc.fppized.o aerosol_intr.fppized.o history_scam.fppized.o xpavg_mod.fppized.o ncdio_atm.fppized.o metdata.fppized.o co2_data_flux.fppized.o co2_cycle.fppized.o buffer.fppized.o comsrf.fppized.o restart_physics.fppized.o pfixer.fppized.o ctem.fppized.o diag_module.fppized.o dyn_comp.fppized.o dyn_internal_state.fppized.o restart_dynamics.fppized.o cam_restart.fppized.o readinitial.fppized.o history_defaults.fppized.o error_function.fppized.o uw_conv.fppized.o uwshcu.fp pized.o cldwat.fppized.o zm_conv.fppized.o hk_conv.fppized.o convect_shallow.fppized.o cloud_fraction.fppized.o cldwat2m_macro.fppized.o ndrop.fppized.o cldwat2m_micro.fppized.o microp_aero.fppized.o microp_driver.fppized.o pkg_cld_sediment.fppized.o stratiform.fppized.o inidat.fppized.o startup_initialconds.fppized.o inital.fppized.o check_energy.fppized.o dp_coupling.fppized.o fv_prints.fppized.o stepon.fppized.o ionosphere.fppized.o cloud_diagnostics.fppized.o cloud_rad_props.fppized.o tidal_diag.fppized.o constituent_burden.fppized.o cam_diagnostics.fppized.o flux_avg.fppized.o macrop_driver.fppized.o gw_drag.fppized.o zm_conv_intr.fppized.o convect_deep.fppized.o rayleigh_friction.fppized.o majorsp_diffusion.fppized.o sslt_rebin.fppized.o physpkg.fppized.o cam_comp.fppized.o seq_infodata_mod.fppized.o seq_cdata_mod.fppized.o runtime_opts.fppized.o cam_cpl_indices.fppized.o seq_timemgr_mod.fppized.o atm_comp_mct.fppized.o benergy.fppized.o binary_io.fppized.o bnddyi.fppized.o seq_flds_indices.fppized. o seq_diag_mct.fppized.o seq_rearr_mod.fppized.o map_ocnocn_mct.fppized.o mrg_x2s_mct.fppized.o ice_comp_mct.fppized.o mrg_x2o_mct.fppized.o seq_avdata_mod.fppized.o seq_hist_mod.fppized.o mrg_x2a_mct.fppized.o map_iceocn_mct.fppized.o seq_map_mod.fppized.o map_atmlnd_mct.fppized.o map_atmice_mct.fppized.o map_snoglc_mct.fppized.o map_atmocn_mct.fppized.o seq_domain_mct.fppized.o seq_rest_mod.fppized.o mrg_x2l_mct.fppized.o seq_frac_mct.fppized.o shr_flux_mod.fppized.o seq_flux_mct.fppized.o map_lndlnd_mct.fppized.o map_iceice_mct.fppized.o map_glcglc_mct.fppized.o lnd_comp_mct.fppized.o ocn_types.fppized.o ocn_comp.fppized.o ocn_comp_mct.fppized.o map_rofrof_mct.fppized.o map_atmatm_mct.fppized.o map_rofocn_mct.fppized.o shr_mem_mod.fppized.o map_snosno_mct.fppized.o mrg_x2g_mct.fppized.o mrg_x2i_mct.fppized.o glc_comp_mct.fppized.o ccsm_comp_mod.fppized.o ccsm_driver.fppized.o tp_core.fppized.o sw_core.fppized.o cd_core.fppized.o cldsav.fppized.o collective.o comm.o comspe.fppized.o cpslec.fppized.o d2a 3dijk.fppized.o d2a3dikj.fppized.o dadadj.fppized.o datetime.fppized.o diag_dynvar_ic.fppized.o mean_module.fppized.o dryairm.fppized.o dsd.o mapz_module.fppized.o epvd.fppized.o esinti.fppized.o f_wrappers.o fft99.fppized.o fill_module.fppized.o fort.fppized.o gases.o gauaw_mod.fppized.o geopk.fppized.o get_zeits.o gffgch.fppized.o gptl.o gptl_papi.o group.o handles.o hirsbtpar.o hirsbt.o icarus.fppized.o initcom.fppized.o initindx.fppized.o intp_util.fppized.o iop_surf.fppized.o lidar_simulator.fppized.o list.o load_hydrometeor_classes.o m_AccumulatorComms.fppized.o m_AttrVectReduce.fppized.o m_StrTemplate.fppized.o m_FileResolv.fppized.o m_Filename.fppized.o m_Merge.fppized.o m_SpatialIntegralV.fppized.o m_SpatialIntegral.fppized.o m_zeit.fppized.o marsaglia.fppized.o mcshallow.fppized.o pio_quicksort.fppized.o mct_rearrange.fppized.o miesubs.fppized.o mo_msis_ubc.fppized.o mo_regrider.fppized.o mo_solar_parms.fppized.o mpi.o msise00.fppized.o p_d_adjust.fppized.o pack.o par_vecsum.fppized.o par_xsum.f ppized.o pf_to_mr.fppized.o pio_msg_callbacks.fppized.o pio_msg_getput_callbacks.fppized.o pio_nf_utils.fppized.o pkez.fppized.o prec_scops.fppized.o puminterfaces.fppized.o qneg3.fppized.o qneg4.fppized.o radar_simulator.o recv.o redistributemodule.fppized.o req.o scops.fppized.o send.o sgexx.fppized.o shr_jlcp.o shr_msg_mod.fppized.o shr_vmath_fwrap.o shr_vmath_mod.fppized.o srchutil.fppized.o srfxfer.fppized.o sulchem.fppized.o te_map.fppized.o threadutil.o time.o topology.o tphysac.fppized.o tphysbc.fppized.o tphysidl.fppized.o trac2d.fppized.o trunc.fppized.o tsinti.fppized.o uv3s_update.fppized.o virtem.fppized.o vrtmap.fppized.o wrap_mpi.fppized.o wrf_error_fatal.fppized.o wrf_message.fppized.o zeff.o zenith.fppized.o netcdf/attr.o netcdf/dim.o netcdf/error.o netcdf/fort-attio.o netcdf/fort-control.o netcdf/fort-dim.o netcdf/fort-genatt.o netcdf/fort-geninq.o netcdf/fort-genvar.o netcdf/fort-lib.o netcdf/fort-misc.o netcdf/fort-v2compat.o netcdf/fort-var1io.o netcdf/fort-varaio.o netcdf/fort-vario. o netcdf/fort-varmio.o netcdf/fort-varsio.o netcdf/libvers.o netcdf/nc.o netcdf/ncx.o netcdf/posixio.o netcdf/putget.o netcdf/string.o netcdf/v1hpg.o netcdf/v2i.o netcdf/var.o netcdf/typeSizes.o netcdf/netcdf.o spec_qsort/spec_qsort.o -o cam4_s netcdf_text_variables.f90:487:99: warning: type of ‘nf_get_vars_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-varsio.c:17:1: note: type mismatch in parameter 7 17 | FCALLSCFUN6(NF_INT, nc_get_vars_text, NF_GET_VARS_TEXT, nf_get_vars_text, | ^ netcdf/fort-varsio.c:17:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varsio.c:17:1: note: ‘nf_get_vars_text_’ was previously declared here netcdf/fort-varsio.c:17:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_text_variables.f90:484:109: warning: type of ‘nf_get_varm_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-varmio.c:17:1: note: type mismatch in parameter 8 17 | FCALLSCFUN7(NF_INT, nc_get_varm_text, NF_GET_VARM_TEXT, nf_get_varm_text, | ^ netcdf/fort-varmio.c:17:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varmio.c:17:1: note: ‘nf_get_varm_text_’ was previously declared here netcdf/fort-varmio.c:17:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_text_variables.f90:260:109: warning: type of ‘nf_put_varm_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-varmio.c:10:1: note: type mismatch in parameter 8 10 | FCALLSCFUN7(NF_INT, nc_put_varm_text, NF_PUT_VARM_TEXT, nf_put_varm_text, | ^ netcdf/fort-varmio.c:10:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varmio.c:10:1: note: ‘nf_put_varm_text_’ was previously declared here netcdf/fort-varmio.c:10:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:5:39: warning: type of ‘nf_inq_libvers’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-misc.c:8:1: note: type mismatch in parameter 2 8 | FCALLSCFUN0(STRING, (char*)nc_inq_libvers, NF_INQ_LIBVERS, nf_inq_libvers) | ^ netcdf/fort-misc.c:8:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-misc.c:8:1: note: ‘nf_inq_libvers_’ was previously declared here netcdf/fort-misc.c:8:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_variables.f90:86:57: warning: type of ‘nf_rename_var’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genvar.c:65:1: note: type mismatch in parameter 4 65 | FCALLSCFUN3(NF_INT, nc_rename_var, NF_RENAME_VAR, nf_rename_var, | ^ netcdf/fort-genvar.c:65:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:65:1: note: ‘nf_rename_var_’ was previously declared here netcdf/fort-genvar.c:65:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:27:48: warning: type of ‘nf_del_att’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:57:1: note: type mismatch in parameter 4 57 | FCALLSCFUN3(NF_INT, nc_del_att, NF_DEL_ATT, nf_del_att, | ^ netcdf/fort-genatt.c:57:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:57:1: note: ‘nf_del_att_’ was previously declared here netcdf/fort-genatt.c:57:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:19:66: warning: type of ‘nf_rename_att’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:50:1: note: type mismatch in parameter 5 50 | FCALLSCFUN4(NF_INT, nc_rename_att, NF_RENAME_ATT, nf_rename_att, | ^ netcdf/fort-genatt.c:50:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:50:1: note: ‘nf_rename_att_’ was previously declared here netcdf/fort-genatt.c:50:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:11:77: warning: type of ‘nf_copy_att’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:43:1: note: type mismatch in parameter 6 43 | FCALLSCFUN5(NF_INT, nc_copy_att, NF_COPY_ATT, nf_copy_att, | ^ netcdf/fort-genatt.c:43:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:43:1: note: ‘nf_copy_att_’ was previously declared here netcdf/fort-genatt.c:43:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:37:64: warning: type of ‘nf_inq_attname’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:36:1: note: type mismatch in parameter 5 36 | FCALLSCFUN4(NF_INT, nc_inq_attname, NF_INQ_ATTNAME, nf_inq_attname, | ^ netcdf/fort-genatt.c:36:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:36:1: note: ‘nf_inq_attname_’ was previously declared here netcdf/fort-genatt.c:36:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:51:86: warning: type of ‘nf_inq_att’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:8:1: note: type mismatch in parameter 6 8 | FCALLSCFUN5(NF_INT, nc_inq_att, NF_INQ_ATT, nf_inq_att, | ^ netcdf/fort-genatt.c:8:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:8:1: note: ‘nf_inq_att_’ was previously declared here netcdf/fort-genatt.c:8:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_dims.f90:30:54: warning: type of ‘nf_rename_dim’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-dim.c:43:1: note: type mismatch in parameter 4 43 | FCALLSCFUN3(NF_INT, nc_rename_dim, NF_RENAME_DIM, nf_rename_dim, | ^ netcdf/fort-dim.c:43:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:43:1: note: ‘nf_rename_dim_’ was previously declared here netcdf/fort-dim.c:43:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:85:70: warning: type of ‘nf__open_mp’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:105:1: note: type mismatch in parameter 6 105 | FCALLSCFUN5(NF_INT, nc__open_mp, NF__OPEN_MP, nf__open_mp, | ^ netcdf/fort-control.c:105:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:105:1: note: ‘nf__open_mp_’ was previously declared here netcdf/fort-control.c:105:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:62:86: warning: type of ‘nf__create_mp’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:99:1: note: type mismatch in parameter 7 99 | FCALLSCFUN6(NF_INT, nc__create_mp, NF__CREATE_MP, nf__create_mp, | ^ netcdf/fort-control.c:99:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:99:1: note: ‘nf__create_mp_’ was previously declared here netcdf/fort-control.c:99:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:150:33: warning: type of ‘nf_delete’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:93:1: note: type mismatch in parameter 2 93 | FCALLSCFUN1(NF_INT, nc_delete, NF_DELETE, nf_delete, | ^ netcdf/fort-control.c:93:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:93:1: note: ‘nf_delete_’ was previously declared here netcdf/fort-control.c:93:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:73:55: warning: type of ‘nf__open’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:28:1: note: type mismatch in parameter 5 28 | FCALLSCFUN4(NF_INT, nc__open, NF__OPEN, nf__open, | ^ netcdf/fort-control.c:28:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:28:1: note: ‘nf__open_’ was previously declared here netcdf/fort-control.c:28:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:50:66: warning: type of ‘nf__create’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:14:1: note: type mismatch in parameter 6 14 | FCALLSCFUN5(NF_INT, nc__create, NF__CREATE, nf__create, | ^ netcdf/fort-control.c:14:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:14:1: note: ‘nf__create_’ was previously declared here netcdf/fort-control.c:14:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:326:82: warning: type of ‘nf_get_att_double’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:147:1: note: type mismatch in parameter 5 147 | FCALLSCFUN4(NF_INT, nc_get_att_double, NF_GET_ATT_DOUBLE, nf_get_att_double, | ^ netcdf/fort-attio.c:147:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:147:1: note: ‘nf_get_att_double_’ was previously declared here netcdf/fort-attio.c:147:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:286:79: warning: type of ‘nf_get_att_real’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:132:1: note: type mismatch in parameter 5 132 | FCALLSCFUN4(NF_INT, nc_get_att_float, NF_GET_ATT_REAL, nf_get_att_real, | ^ netcdf/fort-attio.c:132:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:132:1: note: ‘nf_get_att_real_’ was previously declared here netcdf/fort-attio.c:132:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:267:94: warning: type of ‘nf_put_att_real’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:120:1: note: type mismatch in parameter 7 120 | FCALLSCFUN6(NF_INT, nc_put_att_float, NF_PUT_ATT_REAL, nf_put_att_real, | ^ netcdf/fort-attio.c:120:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:120:1: note: ‘nf_put_att_real_’ was previously declared here netcdf/fort-attio.c:120:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:244:85: warning: type of ‘nf_get_att_int’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:105:1: note: type mismatch in parameter 5 105 | FCALLSCFUN4(NF_INT, nc_get_att_int, NF_GET_ATT_INT, nf_get_att_int, | ^ netcdf/fort-attio.c:105:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:105:1: note: ‘nf_get_att_int_’ was previously declared here netcdf/fort-attio.c:105:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:221:91: warning: type of ‘nf_put_att_int’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:93:1: note: type mismatch in parameter 7 93 | FCALLSCFUN6(NF_INT, nc_put_att_int, NF_PUT_ATT_INT, nf_put_att_int, | ^ netcdf/fort-attio.c:93:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:93:1: note: ‘nf_put_att_int_’ was previously declared here netcdf/fort-attio.c:93:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:156:77: warning: type of ‘nf_get_att_int2’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:78:1: note: type mismatch in parameter 5 78 | FCALLSCFUN4(NF_INT, nc_get_att_short, NF_GET_ATT_INT2, nf_get_att_int2, | ^ netcdf/fort-attio.c:78:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:78:1: note: ‘nf_get_att_int2_’ was previously declared here netcdf/fort-attio.c:78:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:137:91: warning: type of ‘nf_put_att_int2’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:63:1: note: type mismatch in parameter 7 63 | FCALLSCFUN6(NF_INT, nc_put_att_short, NF_PUT_ATT_INT2, nf_put_att_int2, | ^ netcdf/fort-attio.c:63:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:63:1: note: ‘nf_put_att_int2_’ was previously declared here netcdf/fort-attio.c:63:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:116:77: warning: type of ‘nf_get_att_int1’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:45:1: note: type mismatch in parameter 5 45 | FCALLSCFUN4(NF_INT, nc_get_att_schar, NF_GET_ATT_INT1, nf_get_att_int1, | ^ netcdf/fort-attio.c:45:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:45:1: note: ‘nf_get_att_int1_’ was previously declared here netcdf/fort-attio.c:45:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:97:91: warning: type of ‘nf_put_att_int1’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:27:1: note: type mismatch in parameter 7 27 | FCALLSCFUN6(NF_INT, nc_put_att_schar, NF_PUT_ATT_INT1, nf_put_att_int1, | ^ netcdf/fort-attio.c:27:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:27:1: note: ‘nf_put_att_int1_’ was previously declared here netcdf/fort-attio.c:27:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used scamMod.fppized.f90:259:12: warning: type of ‘nf_inq_attid’ does not match original declaration [-Wlto-type-mismatch] 259 | if ( nf_inq_attid( ncid, NF_GLOBAL, 'CAM_GENERATED_FORCING', i ).EQ. NF_NOERR ) then | ^ netcdf/fort-genatt.c:15:1: note: type mismatch in parameter 5 15 | FCALLSCFUN4(NF_INT, nc_inq_attid, NF_INQ_ATTID, nf_inq_attid, | ^ netcdf/fort-genatt.c:15:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:15:1: note: ‘nf_inq_attid_’ was previously declared here netcdf/fort-genatt.c:15:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrap_nf.fppized.f90:1392:38: warning: type of ‘nf_create’ does not match original declaration [-Wlto-type-mismatch] 1392 | ret = nf_create (path, cmode, ncid) | ^ netcdf/fort-control.c:7:1: note: type mismatch in parameter 4 7 | FCALLSCFUN3(NF_INT, nc_create, NF_CREATE, nf_create, | ^ netcdf/fort-control.c:7:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:7:1: note: ‘nf_create_’ was previously declared here netcdf/fort-control.c:7:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrap_nf.fppized.f90:1433:50: warning: type of ‘nf_inq_dim’ does not match original declaration [-Wlto-type-mismatch] 1433 | ret = nf_inq_dim (nfid, dimid, dimname, dimlen) | ^ netcdf/fort-dim.c:22:1: note: type mismatch in parameter 5 22 | FCALLSCFUN4(NF_INT, nc_inq_dim, NF_INQ_DIM, nf_inq_dim, | ^ netcdf/fort-dim.c:22:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:22:1: note: ‘nf_inq_dim_’ was previously declared here netcdf/fort-dim.c:22:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrap_nf.fppized.f90:1628:27: warning: type of ‘nf_inq_var’ does not match original declaration [-Wlto-type-mismatch] 1628 | natts) | ^ netcdf/fort-genvar.c:16:1: note: type mismatch in parameter 8 16 | FCALLSCFUN7(NF_INT, nc_inq_var, NF_INQ_VAR, nf_inq_var, | ^ netcdf/fort-genvar.c:16:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:16:1: note: ‘nf_inq_var_’ was previously declared here netcdf/fort-genvar.c:16:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrap_nf.fppized.f90:1650:46: warning: type of ‘nf_inq_varname’ does not match original declaration [-Wlto-type-mismatch] 1650 | ret = nf_inq_varname (nfid, varid, varname) | ^ netcdf/fort-genvar.c:30:1: note: type mismatch in parameter 4 30 | FCALLSCFUN3(NF_INT, nc_inq_varname, NF_INQ_VARNAME, nf_inq_varname, | ^ netcdf/fort-genvar.c:30:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:30:1: note: ‘nf_inq_varname_’ was previously declared here netcdf/fort-genvar.c:30:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrap_nf.fppized.f90:1673:56: warning: type of ‘nf_get_att_text’ does not match original declaration [-Wlto-type-mismatch] 1673 | ret = nf_get_att_text (nfid, varid, attname, atttext) | ^ netcdf/fort-attio.c:19:1: note: type mismatch in parameter 5 19 | FCALLSCFUN4(NF_INT, nc_get_att_text, NF_GET_ATT_TEXT, nf_get_att_text, | ^ netcdf/fort-attio.c:19:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:19:1: note: ‘nf_get_att_text_’ was previously declared here netcdf/fort-attio.c:19:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrap_nf.fppized.f90:1705:61: warning: type of ‘nf_put_att_text’ does not match original declaration [-Wlto-type-mismatch] 1705 | ret = nf_put_att_text (nfid, varid, attname, siz, atttext) | ^ netcdf/fort-attio.c:12:1: note: type mismatch in parameter 6 12 | FCALLSCFUN5(NF_INT, nc_put_att_text, NF_PUT_ATT_TEXT, nf_put_att_text, | ^ netcdf/fort-attio.c:12:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:12:1: note: ‘nf_put_att_text_’ was previously declared here netcdf/fort-attio.c:12:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrap_nf.fppized.f90:1731:69: warning: type of ‘nf_put_att_double’ does not match original declaration [-Wlto-type-mismatch] 1731 | ret = nf_put_att_double (nfid, varid, attname, xtype, len, attval) | ^ netcdf/fort-attio.c:140:1: note: type mismatch in parameter 7 140 | FCALLSCFUN6(NF_INT, nc_put_att_double, NF_PUT_ATT_DOUBLE, nf_put_att_double, | ^ netcdf/fort-attio.c:140:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:140:1: note: ‘nf_put_att_double_’ was previously declared here netcdf/fort-attio.c:140:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrap_nf.fppized.f90:1752:47: warning: type of ‘nf_def_dim’ does not match original declaration [-Wlto-type-mismatch] 1752 | ret = nf_def_dim (nfid, dimname, len, dimid) | ^ netcdf/fort-dim.c:8:1: note: type mismatch in parameter 5 8 | FCALLSCFUN4(NF_INT, nc_def_dim, NF_DEF_DIM, nf_def_dim, | ^ netcdf/fort-dim.c:8:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:8:1: note: ‘nf_def_dim_’ was previously declared here netcdf/fort-dim.c:8:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrap_nf.fppized.f90:1777:61: warning: type of ‘nf_def_var’ does not match original declaration [-Wlto-type-mismatch] 1777 | ret = nf_def_var (nfid, name, xtype, nvdims, vdims, varid) | ^ netcdf/fort-genvar.c:9:1: note: type mismatch in parameter 7 9 | FCALLSCFUN6(NF_INT, nc_def_var, NF_DEF_VAR, nf_def_var, | ^ netcdf/fort-genvar.c:9:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:9:1: note: ‘nf_def_var_’ was previously declared here netcdf/fort-genvar.c:9:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrap_nf.fppized.f90:1980:59: warning: type of ‘nf_get_vara_text’ does not match original declaration [-Wlto-type-mismatch] 1980 | ret = nf_get_vara_text (nfid, varid, start, count, text) | ^ netcdf/fort-varaio.c:17:1: note: type mismatch in parameter 6 17 | FCALLSCFUN5(NF_INT, nc_get_vara_text, NF_GET_VARA_TEXT, nf_get_vara_text, | ^ netcdf/fort-varaio.c:17:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varaio.c:17:1: note: ‘nf_get_vara_text_’ was previously declared here netcdf/fort-varaio.c:17:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used wrap_nf.fppized.f90:2121:59: warning: type of ‘nf_put_vara_text’ does not match original declaration [-Wlto-type-mismatch] 2121 | ret = nf_put_vara_text (nfid, varid, start, count, text) | ^ netcdf/fort-varaio.c:10:1: note: type mismatch in parameter 6 10 | FCALLSCFUN5(NF_INT, nc_put_vara_text, NF_PUT_VARA_TEXT, nf_put_vara_text, | ^ netcdf/fort-varaio.c:10:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varaio.c:10:1: note: ‘nf_put_vara_text_’ was previously declared here netcdf/fort-varaio.c:10:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used shr_mct_mod.fppized.f90:3086:43: warning: type of ‘nf_open’ does not match original declaration [-Wlto-type-mismatch] 3086 | rcode = nf_open(filename,NF_NOWRITE,fid) | ^ netcdf/fort-control.c:21:1: note: type mismatch in parameter 4 21 | FCALLSCFUN3(NF_INT, nc_open, NF_OPEN, nf_open, | ^ netcdf/fort-control.c:21:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:21:1: note: ‘nf_open_’ was previously declared here netcdf/fort-control.c:21:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used shr_mct_mod.fppized.f90:3090:66: warning: type of ‘nf_inq_dimid’ does not match original declaration [-Wlto-type-mismatch] 3090 | rcode = nf_inq_dimid (fid, 'n_s', did) ! size of sparse matrix | ^ netcdf/fort-dim.c:15:1: note: type mismatch in parameter 4 15 | FCALLSCFUN3(NF_INT, nc_inq_dimid, NF_INQ_DIMID, nf_inq_dimid, | ^ netcdf/fort-dim.c:15:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:15:1: note: ‘nf_inq_dimid_’ was previously declared here netcdf/fort-dim.c:15:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used shr_mct_mod.fppized.f90:3117:49: warning: type of ‘nf_inq_varid’ does not match original declaration [-Wlto-type-mismatch] 3117 | rcode = nf_inq_varid (fid,'area_a',vid) | ^ netcdf/fort-genvar.c:23:1: note: type mismatch in parameter 4 23 | FCALLSCFUN3(NF_INT, nc_inq_varid, NF_INQ_VARID, nf_inq_varid, | ^ netcdf/fort-genvar.c:23:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:23:1: note: ‘nf_inq_varid_’ was previously declared here netcdf/fort-genvar.c:23:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used shr_mct_mod.fppized.f90:3087:84: warning: type of ‘nf_strerror’ does not match original declaration [-Wlto-type-mismatch] 3087 | if (rcode /= NF_NOERR .and. s_loglev > 0) write(s_logunit,F00) nf_strerror(rcode) | ^ netcdf/fort-misc.c:14:1: note: type mismatch in parameter 2 14 | FCALLSCFUN1(STRING, (char*)nc_strerror, NF_STRERROR, nf_strerror, | ^ netcdf/fort-misc.c:14:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-misc.c:14:1: note: ‘nf_strerror_’ was previously declared here netcdf/fort-misc.c:14:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used pionfput_mod.fppized.f90:535:87: warning: type of ‘nf_put_vars_text’ does not match original declaration [-Wlto-type-mismatch] 535 | ierr = nf_put_vars_text(File%fh, varid, (/1/), (/len(ival)/), (/1/), ival) | ^ netcdf/fort-varsio.c:10:1: note: type mismatch in parameter 7 10 | FCALLSCFUN6(NF_INT, nc_put_vars_text, NF_PUT_VARS_TEXT, nf_put_vars_text, | ^ netcdf/fort-varsio.c:10:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varsio.c:10:1: note: ‘nf_put_vars_text_’ was previously declared here netcdf/fort-varsio.c:10:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used perf_mod.fppized.f90:1631:77: warning: type of ‘gptlevent_name_to_code’ does not match original declaration [-Wlto-type-mismatch] 1631 | ierr = gptlevent_name_to_code(trim(papi_ctr1_str), papi_ctr1_id) | ^ f_wrappers.c:491:5: note: type mismatch in parameter 3 491 | int gptlevent_name_to_code (const char *str, int *code, int nc) | ^ f_wrappers.c:491:5: note: type ‘int’ should match type ‘long int’ f_wrappers.c:491:5: note: ‘gptlevent_name_to_code_’ was previously declared here perf_mod.fppized.f90:1326:46: warning: type of ‘gptlpr_file’ does not match original declaration [-Wlto-type-mismatch] 1326 | ierr = GPTLpr_file(0, trim(fname)) | ^ f_wrappers.c:158:5: note: type mismatch in parameter 3 158 | int gptlpr_file (int *mode, char *file, int nc1) | ^ f_wrappers.c:158:5: note: type ‘int’ should match type ‘long int’ f_wrappers.c:158:5: note: ‘gptlpr_file_’ was previously declared here perf_mod.fppized.f90:1312:63: warning: type of ‘gptlpr_summary_file’ does not match original declaration [-Wlto-type-mismatch] 1312 | ierr = GPTLpr_summary_file(mpicom2, 0, trim(fname)) | ^ f_wrappers.c:198:5: note: type mismatch in parameter 4 198 | int gptlpr_summary_file (int *fcomm, int *mode, char *file, int nc1) | ^ f_wrappers.c:198:5: note: type ‘int’ should match type ‘long int’ f_wrappers.c:198:5: note: ‘gptlpr_summary_file_’ was previously declared here perf_mod.fppized.f90:1163:34: warning: type of ‘gptlstop’ does not match original declaration [-Wlto-type-mismatch] 1163 | ierr = GPTLstop(event) | ^ f_wrappers.c:288:5: note: type mismatch in parameter 2 288 | int gptlstop (char *name, int nc1) | ^ f_wrappers.c:288:5: note: type ‘int’ should match type ‘long int’ f_wrappers.c:288:5: note: ‘gptlstop_’ was previously declared here perf_mod.fppized.f90:1153:35: warning: type of ‘gptlstart’ does not match original declaration [-Wlto-type-mismatch] 1153 | ierr = GPTLstart(event) | ^ f_wrappers.c:273:5: note: type mismatch in parameter 2 273 | int gptlstart (char *name, int nc1) | ^ f_wrappers.c:273:5: note: type ‘int’ should match type ‘long int’ f_wrappers.c:273:5: note: ‘gptlstart_’ was previously declared here shr_mpi_mod.fppized.f90:2294:34: warning: type of ‘mpi_initialized’ does not match original declaration [-Wlto-type-mismatch] 2294 | call MPI_INITIALIZED(flag,ierr) | ^ mpi.c:275:1: note: return value type mismatch 275 | FORT_NAME( mpi_initialized , MPI_INITIALIZED )(int *flag, int *ierror) | ^ mpi.c:275:1: note: type ‘int’ should match type ‘void’ mpi.c:275:1: note: ‘mpi_initialized_’ was previously declared here mpi.c:275:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used shr_mpi_mod.fppized.f90:877:61: warning: type of ‘mpi_bcast’ does not match original declaration [-Wlto-type-mismatch] 877 | call MPI_BCAST(vec,lsize,MPI_CHARACTER,lpebcast,comm,ierr) | ^ collective.c:29:6: note: type mismatch in parameter 7 29 | void FORT_NAME( mpi_bcast , MPI_BCAST )(void *buffer, int *count, int *datatype, | ^ collective.c:29:6: note: type ‘void’ should match type ‘long int’ collective.c:29:6: note: ‘mpi_bcast_’ was previously declared here perf_utils.fppized.f90:588:50: warning: type of ‘mpi_error_string’ does not match original declaration [-Wlto-type-mismatch] 588 | call MPI_ERROR_STRING(rcode,lstring,len,ierr) | ^ mpi.c:225:1: note: return value type mismatch 225 | FORT_NAME( mpi_error_string , MPI_ERROR_STRING) | ^ mpi.c:225:1: note: type ‘int’ should match type ‘void’ m_mpif90.fppized.f90:674:42: warning: type of ‘mpi_error_string’ does not match original declaration [-Wlto-type-mismatch] 674 | call MP_error_string(ierror,estr,ln,ier) | ^ mpi.c:225:1: note: return value type mismatch 225 | FORT_NAME( mpi_error_string , MPI_ERROR_STRING) | ^ mpi.c:225:1: note: type ‘int’ should match type ‘void’ mpi.c:225:1: note: ‘mpi_error_string_’ was previously declared here lto-wrapper: warning: using serial compilation of 73 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make.cam4_s command: 2021-07-08 15:41:37 (1625773297.66377) Elapsed time for make.cam4_s command: 00:02:23 (143.497141122818) Issuing options.cam4_s command 'specmake --output-sync --jobs=1 options TARGET=cam4_s' Start options.cam4_s command: 2021-07-08 15:41:37 (1625773297.66462) FPP: "specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I. -Iinclude -Inetcdf/include" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: PORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options.cam4_s command: 2021-07-08 15:41:37 (1625773297.82924) Elapsed time for options.cam4_s command: 00:00:00 (0.164614915847778) Issuing compiler-version.cam4_s command 'specmake --output-sync --jobs=1 compiler-version TARGET=cam4_s' Start compiler-version.cam4_s command: 2021-07-08 15:41:37 (1625773297.83326) FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.cam4_s command: 2021-07-08 15:41:38 (1625773298.04393) Elapsed time for compiler-version.cam4_s command: 00:00:00 (0.210675001144409) Issuing make.cam4_validate_627 command 'specmake --output-sync --jobs=1 build TARGET=cam4_validate_627' Start make.cam4_validate_627 command: 2021-07-08 15:41:38 (1625773298.04807) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o validate-cam.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp validate-cam.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP validate-cam.o netcdf/attr.o netcdf/dim.o netcdf/error.o netcdf/fort-attio.o netcdf/fort-control.o netcdf/fort-dim.o netcdf/fort-genatt.o netcdf/fort-geninq.o netcdf/fort-genvar.o netcdf/fort-lib.o netcdf/fort-misc.o netcdf/fort-v2compat.o netcdf/fort-var1io.o netcdf/fort-varaio.o netcdf/fort-vario.o netcdf/fort-varmio.o netcdf/fort-varsio.o netcdf/libvers.o netcdf/nc.o netcdf/ncx.o netcdf/posixio.o netcdf/putget.o netcdf/string.o netcdf/v1hpg.o netcdf/v2i.o netcdf/var.o netcdf/typeSizes.o netcdf/netcdf.o spec_qsort/spec_qsort.o -o cam4_validate_627 netcdf_text_variables.f90:487:99: warning: type of ‘nf_get_vars_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-varsio.c:17:1: note: type mismatch in parameter 7 17 | FCALLSCFUN6(NF_INT, nc_get_vars_text, NF_GET_VARS_TEXT, nf_get_vars_text, | ^ netcdf/fort-varsio.c:17:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varsio.c:17:1: note: ‘nf_get_vars_text_’ was previously declared here netcdf/fort-varsio.c:17:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_text_variables.f90:263:99: warning: type of ‘nf_put_vars_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-varsio.c:10:1: note: type mismatch in parameter 7 10 | FCALLSCFUN6(NF_INT, nc_put_vars_text, NF_PUT_VARS_TEXT, nf_put_vars_text, | ^ netcdf/fort-varsio.c:10:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varsio.c:10:1: note: ‘nf_put_vars_text_’ was previously declared here netcdf/fort-varsio.c:10:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_text_variables.f90:484:109: warning: type of ‘nf_get_varm_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-varmio.c:17:1: note: type mismatch in parameter 8 17 | FCALLSCFUN7(NF_INT, nc_get_varm_text, NF_GET_VARM_TEXT, nf_get_varm_text, | ^ netcdf/fort-varmio.c:17:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varmio.c:17:1: note: ‘nf_get_varm_text_’ was previously declared here netcdf/fort-varmio.c:17:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_text_variables.f90:260:109: warning: type of ‘nf_put_varm_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-varmio.c:10:1: note: type mismatch in parameter 8 10 | FCALLSCFUN7(NF_INT, nc_put_varm_text, NF_PUT_VARM_TEXT, nf_put_varm_text, | ^ netcdf/fort-varmio.c:10:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varmio.c:10:1: note: ‘nf_put_varm_text_’ was previously declared here netcdf/fort-varmio.c:10:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:12:38: warning: type of ‘nf_strerror’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-misc.c:14:1: note: type mismatch in parameter 2 14 | FCALLSCFUN1(STRING, (char*)nc_strerror, NF_STRERROR, nf_strerror, | ^ netcdf/fort-misc.c:14:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-misc.c:14:1: note: ‘nf_strerror_’ was previously declared here netcdf/fort-misc.c:14:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:5:39: warning: type of ‘nf_inq_libvers’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-misc.c:8:1: note: type mismatch in parameter 2 8 | FCALLSCFUN0(STRING, (char*)nc_inq_libvers, NF_INQ_LIBVERS, nf_inq_libvers) | ^ netcdf/fort-misc.c:8:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-misc.c:8:1: note: ‘nf_inq_libvers_’ was previously declared here netcdf/fort-misc.c:8:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_variables.f90:86:57: warning: type of ‘nf_rename_var’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genvar.c:65:1: note: type mismatch in parameter 4 65 | FCALLSCFUN3(NF_INT, nc_rename_var, NF_RENAME_VAR, nf_rename_var, | ^ netcdf/fort-genvar.c:65:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:65:1: note: ‘nf_rename_var_’ was previously declared here netcdf/fort-genvar.c:65:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_variables.f90:47:52: warning: type of ‘nf_inq_varid’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genvar.c:23:1: note: type mismatch in parameter 4 23 | FCALLSCFUN3(NF_INT, nc_inq_varid, NF_INQ_VARID, nf_inq_varid, | ^ netcdf/fort-genvar.c:23:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:23:1: note: ‘nf_inq_varid_’ was previously declared here netcdf/fort-genvar.c:23:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_variables.f90:65:82: warning: type of ‘nf_inq_var’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genvar.c:16:1: note: type mismatch in parameter 8 16 | FCALLSCFUN7(NF_INT, nc_inq_var, NF_INQ_VAR, nf_inq_var, | ^ netcdf/fort-genvar.c:16:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:16:1: note: ‘nf_inq_var_’ was previously declared here netcdf/fort-genvar.c:16:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_variables.f90:38:86: warning: type of ‘nf_def_var’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genvar.c:9:1: note: type mismatch in parameter 7 9 | FCALLSCFUN6(NF_INT, nc_def_var, NF_DEF_VAR, nf_def_var, | ^ netcdf/fort-genvar.c:9:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:9:1: note: ‘nf_def_var_’ was previously declared here netcdf/fort-genvar.c:9:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:27:48: warning: type of ‘nf_del_att’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:57:1: note: type mismatch in parameter 4 57 | FCALLSCFUN3(NF_INT, nc_del_att, NF_DEL_ATT, nf_del_att, | ^ netcdf/fort-genatt.c:57:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:57:1: note: ‘nf_del_att_’ was previously declared here netcdf/fort-genatt.c:57:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:19:66: warning: type of ‘nf_rename_att’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:50:1: note: type mismatch in parameter 5 50 | FCALLSCFUN4(NF_INT, nc_rename_att, NF_RENAME_ATT, nf_rename_att, | ^ netcdf/fort-genatt.c:50:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:50:1: note: ‘nf_rename_att_’ was previously declared here netcdf/fort-genatt.c:50:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:11:77: warning: type of ‘nf_copy_att’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:43:1: note: type mismatch in parameter 6 43 | FCALLSCFUN5(NF_INT, nc_copy_att, NF_COPY_ATT, nf_copy_att, | ^ netcdf/fort-genatt.c:43:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:43:1: note: ‘nf_copy_att_’ was previously declared here netcdf/fort-genatt.c:43:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:37:64: warning: type of ‘nf_inq_attname’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:36:1: note: type mismatch in parameter 5 36 | FCALLSCFUN4(NF_INT, nc_inq_attname, NF_INQ_ATTNAME, nf_inq_attname, | ^ netcdf/fort-genatt.c:36:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:36:1: note: ‘nf_inq_attname_’ was previously declared here netcdf/fort-genatt.c:36:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:50:70: warning: type of ‘nf_inq_attid’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:15:1: note: type mismatch in parameter 5 15 | FCALLSCFUN4(NF_INT, nc_inq_attid, NF_INQ_ATTID, nf_inq_attid, | ^ netcdf/fort-genatt.c:15:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:15:1: note: ‘nf_inq_attid_’ was previously declared here netcdf/fort-genatt.c:15:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:51:86: warning: type of ‘nf_inq_att’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:8:1: note: type mismatch in parameter 6 8 | FCALLSCFUN5(NF_INT, nc_inq_att, NF_INQ_ATT, nf_inq_att, | ^ netcdf/fort-genatt.c:8:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:8:1: note: ‘nf_inq_att_’ was previously declared here netcdf/fort-genatt.c:8:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_dims.f90:30:54: warning: type of ‘nf_rename_dim’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-dim.c:43:1: note: type mismatch in parameter 4 43 | FCALLSCFUN3(NF_INT, nc_rename_dim, NF_RENAME_DIM, nf_rename_dim, | ^ netcdf/fort-dim.c:43:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:43:1: note: ‘nf_rename_dim_’ was previously declared here netcdf/fort-dim.c:43:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_dims.f90:42:69: warning: type of ‘nf_inq_dim’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-dim.c:22:1: note: type mismatch in parameter 5 22 | FCALLSCFUN4(NF_INT, nc_inq_dim, NF_INQ_DIM, nf_inq_dim, | ^ netcdf/fort-dim.c:22:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:22:1: note: ‘nf_inq_dim_’ was previously declared here netcdf/fort-dim.c:22:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_dims.f90:21:52: warning: type of ‘nf_inq_dimid’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-dim.c:15:1: note: type mismatch in parameter 4 15 | FCALLSCFUN3(NF_INT, nc_inq_dimid, NF_INQ_DIMID, nf_inq_dimid, | ^ netcdf/fort-dim.c:15:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:15:1: note: ‘nf_inq_dimid_’ was previously declared here netcdf/fort-dim.c:15:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_dims.f90:12:53: warning: type of ‘nf_def_dim’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-dim.c:8:1: note: type mismatch in parameter 5 8 | FCALLSCFUN4(NF_INT, nc_def_dim, NF_DEF_DIM, nf_def_dim, | ^ netcdf/fort-dim.c:8:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:8:1: note: ‘nf_def_dim_’ was previously declared here netcdf/fort-dim.c:8:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:85:70: warning: type of ‘nf__open_mp’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:105:1: note: type mismatch in parameter 6 105 | FCALLSCFUN5(NF_INT, nc__open_mp, NF__OPEN_MP, nf__open_mp, | ^ netcdf/fort-control.c:105:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:105:1: note: ‘nf__open_mp_’ was previously declared here netcdf/fort-control.c:105:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:62:86: warning: type of ‘nf__create_mp’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:99:1: note: type mismatch in parameter 7 99 | FCALLSCFUN6(NF_INT, nc__create_mp, NF__CREATE_MP, nf__create_mp, | ^ netcdf/fort-control.c:99:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:99:1: note: ‘nf__create_mp_’ was previously declared here netcdf/fort-control.c:99:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:150:33: warning: type of ‘nf_delete’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:93:1: note: type mismatch in parameter 2 93 | FCALLSCFUN1(NF_INT, nc_delete, NF_DELETE, nf_delete, | ^ netcdf/fort-control.c:93:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:93:1: note: ‘nf_delete_’ was previously declared here netcdf/fort-control.c:93:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:73:55: warning: type of ‘nf__open’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:28:1: note: type mismatch in parameter 5 28 | FCALLSCFUN4(NF_INT, nc__open, NF__OPEN, nf__open, | ^ netcdf/fort-control.c:28:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:28:1: note: ‘nf__open_’ was previously declared here netcdf/fort-control.c:28:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:75:43: warning: type of ‘nf_open’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:21:1: note: type mismatch in parameter 4 21 | FCALLSCFUN3(NF_INT, nc_open, NF_OPEN, nf_open, | ^ netcdf/fort-control.c:21:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:21:1: note: ‘nf_open_’ was previously declared here netcdf/fort-control.c:21:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:50:66: warning: type of ‘nf__create’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:14:1: note: type mismatch in parameter 6 14 | FCALLSCFUN5(NF_INT, nc__create, NF__CREATE, nf__create, | ^ netcdf/fort-control.c:14:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:14:1: note: ‘nf__create_’ was previously declared here netcdf/fort-control.c:14:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:44:48: warning: type of ‘nf_create’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:7:1: note: type mismatch in parameter 4 7 | FCALLSCFUN3(NF_INT, nc_create, NF_CREATE, nf_create, | ^ netcdf/fort-control.c:7:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:7:1: note: ‘nf_create_’ was previously declared here netcdf/fort-control.c:7:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:326:82: warning: type of ‘nf_get_att_double’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:147:1: note: type mismatch in parameter 5 147 | FCALLSCFUN4(NF_INT, nc_get_att_double, NF_GET_ATT_DOUBLE, nf_get_att_double, | ^ netcdf/fort-attio.c:147:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:147:1: note: ‘nf_get_att_double_’ was previously declared here netcdf/fort-attio.c:147:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:307:97: warning: type of ‘nf_put_att_double’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:140:1: note: type mismatch in parameter 7 140 | FCALLSCFUN6(NF_INT, nc_put_att_double, NF_PUT_ATT_DOUBLE, nf_put_att_double, | ^ netcdf/fort-attio.c:140:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:140:1: note: ‘nf_put_att_double_’ was previously declared here netcdf/fort-attio.c:140:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:286:79: warning: type of ‘nf_get_att_real’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:132:1: note: type mismatch in parameter 5 132 | FCALLSCFUN4(NF_INT, nc_get_att_float, NF_GET_ATT_REAL, nf_get_att_real, | ^ netcdf/fort-attio.c:132:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:132:1: note: ‘nf_get_att_real_’ was previously declared here netcdf/fort-attio.c:132:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:267:94: warning: type of ‘nf_put_att_real’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:120:1: note: type mismatch in parameter 7 120 | FCALLSCFUN6(NF_INT, nc_put_att_float, NF_PUT_ATT_REAL, nf_put_att_real, | ^ netcdf/fort-attio.c:120:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:120:1: note: ‘nf_put_att_real_’ was previously declared here netcdf/fort-attio.c:120:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:244:85: warning: type of ‘nf_get_att_int’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:105:1: note: type mismatch in parameter 5 105 | FCALLSCFUN4(NF_INT, nc_get_att_int, NF_GET_ATT_INT, nf_get_att_int, | ^ netcdf/fort-attio.c:105:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:105:1: note: ‘nf_get_att_int_’ was previously declared here netcdf/fort-attio.c:105:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:221:91: warning: type of ‘nf_put_att_int’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:93:1: note: type mismatch in parameter 7 93 | FCALLSCFUN6(NF_INT, nc_put_att_int, NF_PUT_ATT_INT, nf_put_att_int, | ^ netcdf/fort-attio.c:93:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:93:1: note: ‘nf_put_att_int_’ was previously declared here netcdf/fort-attio.c:93:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:156:77: warning: type of ‘nf_get_att_int2’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:78:1: note: type mismatch in parameter 5 78 | FCALLSCFUN4(NF_INT, nc_get_att_short, NF_GET_ATT_INT2, nf_get_att_int2, | ^ netcdf/fort-attio.c:78:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:78:1: note: ‘nf_get_att_int2_’ was previously declared here netcdf/fort-attio.c:78:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:137:91: warning: type of ‘nf_put_att_int2’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:63:1: note: type mismatch in parameter 7 63 | FCALLSCFUN6(NF_INT, nc_put_att_short, NF_PUT_ATT_INT2, nf_put_att_int2, | ^ netcdf/fort-attio.c:63:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:63:1: note: ‘nf_put_att_int2_’ was previously declared here netcdf/fort-attio.c:63:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:116:77: warning: type of ‘nf_get_att_int1’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:45:1: note: type mismatch in parameter 5 45 | FCALLSCFUN4(NF_INT, nc_get_att_schar, NF_GET_ATT_INT1, nf_get_att_int1, | ^ netcdf/fort-attio.c:45:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:45:1: note: ‘nf_get_att_int1_’ was previously declared here netcdf/fort-attio.c:45:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:97:91: warning: type of ‘nf_put_att_int1’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:27:1: note: type mismatch in parameter 7 27 | FCALLSCFUN6(NF_INT, nc_put_att_schar, NF_PUT_ATT_INT1, nf_put_att_int1, | ^ netcdf/fort-attio.c:27:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:27:1: note: ‘nf_put_att_int1_’ was previously declared here netcdf/fort-attio.c:27:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:75:66: warning: type of ‘nf_get_att_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:19:1: note: type mismatch in parameter 5 19 | FCALLSCFUN4(NF_INT, nc_get_att_text, NF_GET_ATT_TEXT, nf_get_att_text, | ^ netcdf/fort-attio.c:19:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:19:1: note: ‘nf_get_att_text_’ was previously declared here netcdf/fort-attio.c:19:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:66:90: warning: type of ‘nf_put_att_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:12:1: note: type mismatch in parameter 6 12 | FCALLSCFUN5(NF_INT, nc_put_att_text, NF_PUT_ATT_TEXT, nf_put_att_text, | ^ netcdf/fort-attio.c:12:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:12:1: note: ‘nf_put_att_text_’ was previously declared here netcdf/fort-attio.c:12:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used Stop make.cam4_validate_627 command: 2021-07-08 15:41:38 (1625773298.9592) Elapsed time for make.cam4_validate_627 command: 00:00:00 (0.911133050918579) Issuing options.cam4_validate_627 command 'specmake --output-sync --jobs=1 options TARGET=cam4_validate_627' Start options.cam4_validate_627 command: 2021-07-08 15:41:38 (1625773298.96261) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I. -Iinclude -Inetcdf/include" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: PORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options.cam4_validate_627 command: 2021-07-08 15:41:39 (1625773299.12646) Elapsed time for options.cam4_validate_627 command: 00:00:00 (0.163851976394653) Issuing compiler-version.cam4_validate_627 command 'specmake --output-sync --jobs=1 compiler-version TARGET=cam4_validate_627' Start compiler-version.cam4_validate_627 command: 2021-07-08 15:41:39 (1625773299.13041) FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.cam4_validate_627 command: 2021-07-08 15:41:39 (1625773299.33203) Elapsed time for compiler-version.cam4_validate_627 command: 00:00:00 (0.201618909835815) Compile for '627.cam4_s' ended at: 2021-07-08 15:41:39 (1625773299) Elapsed compile for '627.cam4_s': 00:02:26 (146) FPP: "specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I. -Iinclude -Inetcdf/include" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: PORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I. -Iinclude -Inetcdf/include" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: PORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/exe/speed_pop2_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/exe/speed_pop2_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 628.pop2_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:41:40] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename netcdf/netcdf.f90)): netcdf/netcdf.f90 $(addsuffix $(OBJ),$(basename netcdf/typeSizes.f90) ) $(addsuffix $(OBJ), ESMF_AlarmClockMod.fppized): ESMF_AlarmClockMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_ClockMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_AlarmMod.fppized): ESMF_AlarmMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_BaseTimeMod.fppized): ESMF_BaseTimeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized) $(addsuffix $(OBJ), ESMF_CalendarMod.fppized): ESMF_CalendarMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized) $(addsuffix $(OBJ), ESMF_ClockMod.fppized): ESMF_ClockMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_Mod.fppized): ESMF_Mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmClockMod.fppized ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_ClockMod.fppized ESMF_FractionMod.fppized ESMF_Stubs.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_Stubs.fppized): ESMF_Stubs.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_CalendarMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), ESMF_TimeIntervalMod.fppized): ESMF_TimeIntervalMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_FractionMod.fppized) $(addsuffix $(OBJ), ESMF_TimeMod.fppized): ESMF_TimeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_TimeIntervalMod.fppized) $(addsuffix $(OBJ), Meat.fppized): Meat.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_AlarmMod.fppized ESMF_BaseMod.fppized ESMF_BaseTimeMod.fppized ESMF_CalendarMod.fppized ESMF_ClockMod.fppized ESMF_FractionMod.fppized ESMF_TimeIntervalMod.fppized ESMF_TimeMod.fppized) $(addsuffix $(OBJ), POP_BlocksMod.fppized): POP_BlocksMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_DomainSizeMod.fppized POP_ErrorMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_BroadcastMod.fppized): POP_BroadcastMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_CommMod.fppized): POP_CommMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_KindsMod.fppized ocn_communicator.fppized) $(addsuffix $(OBJ), POP_ConfigMod.fppized): POP_ConfigMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BroadcastMod.fppized POP_CommMod.fppized POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_ConstantsMod.fppized): POP_ConstantsMod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) POP_CommMod.fppized POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized shr_const_mod.fppized) $(addsuffix $(OBJ), POP_DistributionMod.fppized): POP_DistributionMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_CommMod.fppized POP_ErrorMod.fppized POP_KindsMod.fppized spacecurve_mod.fppized) $(addsuffix $(OBJ), POP_DomainSizeMod.fppized): POP_DomainSizeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_ErrorMod.fppized): POP_ErrorMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized io_types.fppized) $(addsuffix $(OBJ), POP_FieldMod.fppized): POP_FieldMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_GridDimMod.fppized POP_GridHorzMod.fppized POP_GridVertMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_FinalMod.fppized): POP_FinalMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized communicate.fppized io_types.fppized timers.fppized) $(addsuffix $(OBJ), POP_GridDimMod.fppized): POP_GridDimMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_GridHorzMod.fppized): POP_GridHorzMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_GridVertMod.fppized): POP_GridVertMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_HaloMod.fppized): POP_HaloMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_CommMod.fppized POP_DistributionMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized POP_ReductionsMod.fppized) $(addsuffix $(OBJ), POP_IOUnitsMod.fppized): POP_IOUnitsMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_KindsMod.fppized shr_file_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), POP_InitMod.fppized): POP_InitMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_KindsMod.fppized domain.fppized initial.fppized kinds_mod.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), POP_MCT_vars_mod.fppized): POP_MCT_vars_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized mct_mod.fppized) $(addsuffix $(OBJ), POP_RedistributeMod.fppized): POP_RedistributeMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_CommMod.fppized POP_DistributionMod.fppized POP_ErrorMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_ReductionsMod.fppized): POP_ReductionsMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_CommMod.fppized POP_DistributionMod.fppized POP_ErrorMod.fppized POP_GridHorzMod.fppized POP_KindsMod.fppized) $(addsuffix $(OBJ), POP_SolversMod.fppized): POP_SolversMod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_CommMod.fppized POP_ConfigMod.fppized POP_DistributionMod.fppized POP_DomainSizeMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized POP_RedistributeMod.fppized POP_ReductionsMod.fppized domain.fppized grid.fppized) $(addsuffix $(OBJ), advection.fppized): advection.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized diagnostics.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io_types.fppized kinds_mod.fppized operators.fppized overflows.fppized passive_tracers.fppized prognostic.fppized registry.fppized state_mod.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), alloc_mod.fppized): alloc_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), atm_comp_mct.fppized): atm_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized datm_comp_mod.fppized mct_mod.fppized seq_cdata_mod.fppized) $(addsuffix $(OBJ), baroclinic.fppized): baroclinic.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized advection.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized diagnostics.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_fields.fppized forcing_pt_interior.fppized forcing_s_interior.fppized forcing_sfwf.fppized forcing_shf.fppized grid.fppized horizontal_mix.fppized ice.fppized io_types.fppized kinds_mod.fppized movie.fppized overflows.fppized passive_tracers.fppized pressure_grad.fppized prognostic.fppized state_mod.fppized sw_absorption.fppized tavg.fppized time_management.fppized vertical_mix.fppized vmix_kpp.fppized) $(addsuffix $(OBJ), barotropic.fppized): barotropic.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized POP_SolversMod.fppized blocks.fppized constants.fppized domain.fppized domain_size.fppized forcing_ap.fppized forcing_fields.fppized global_reductions.fppized grid.fppized kinds_mod.fppized operators.fppized overflows.fppized prognostic.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), blocks.fppized): blocks.fppized.f90 $(addsuffix $(OBJ),$(basename ) domain_size.fppized exit_mod.fppized kinds_mod.fppized) $(addsuffix $(OBJ), box_rearrange.fppized): box_rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized pio_kinds.fppized pio_spmd_utils.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), broadcast.fppized): broadcast.fppized.f90 $(addsuffix $(OBJ),$(basename ) communicate.fppized kinds_mod.fppized) $(addsuffix $(OBJ), budget_diagnostics.fppized): budget_diagnostics.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized constants.fppized diagnostics.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_sfwf.fppized global_reductions.fppized grid.fppized ice.fppized io.fppized kinds_mod.fppized prognostic.fppized qflux_mod.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), ccsm_driver.fppized): ccsm_driver.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized atm_comp_mct.fppized glc_comp_mct.fppized ice_comp_mct.fppized lnd_comp_mct.fppized map_atmatm_mct.fppized map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_glcglc_mct.fppized map_iceice_mct.fppized map_iceocn_mct.fppized map_lndlnd_mct.fppized map_ocnocn_mct.fppized map_rofocn_mct.fppized map_rofrof_mct.fppized map_snoglc_mct.fppized map_snosno_mct.fppized mct_mod.fppized mrg_x2a_mct.fppized mrg_x2g_mct.fppized mrg_x2i_mct.fppized mrg_x2l_mct.fppized mrg_x2o_mct.fppized mrg_x2s_mct.fppized ocn_comp_mct.fppized perf_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_domain_mct.fppized seq_flds_indices.fppized seq_flux_mct.fppized seq_frac_mct.fppized seq_hist_mod.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_rest_mod.fppized seq_timemgr_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppiz ed shr_map_mod.fppized shr_mem_mod.fppized shr_mpi_mod.fppized shr_scam_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), cfc11_mod.fppized): cfc11_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io.fppized io_tools.fppized io_types.fppized kinds_mod.fppized passive_tracer_tools.fppized prognostic.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), cfc_mod.fppized): cfc_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) POP_ErrorMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io_tools.fppized io_types.fppized kinds_mod.fppized passive_tracer_tools.fppized prognostic.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), check_mod.fppized): check_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), co2calc.fppized): co2calc.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain_size.fppized kinds_mod.fppized shr_sys_mod.fppized shr_vmath_mod.fppized state_mod.fppized) $(addsuffix $(OBJ), communicate.fppized): communicate.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized kinds_mod.fppized) $(addsuffix $(OBJ), constants.fppized): constants.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) kinds_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), current_meters.fppized): current_meters.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), datm_comp_mod.fppized): datm_comp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized datm_shr_mod.fppized mct_mod.fppized perf_mod.fppized seq_cdata_mod.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_pcdf_mod.fppized shr_strdata_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), datm_shr_mod.fppized): datm_shr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_flds_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_map_mod.fppized shr_ncread_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), dead_data_mod.fppized): dead_data_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), dead_mct_mod.fppized): dead_mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) dead_data_mod.fppized mct_mod.fppized seq_flds_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), dead_mod.fppized): dead_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) dead_data_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), diag_bsf.fppized): diag_bsf.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized broadcast.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized gather_scatter.fppized global_reductions.fppized grid.fppized io.fppized kinds_mod.fppized registry.fppized) $(addsuffix $(OBJ), diagnostics.fppized): diagnostics.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized POP_SolversMod.fppized broadcast.fppized constants.fppized domain.fppized exit_mod.fppized forcing.fppized forcing_fields.fppized gather_scatter.fppized global_reductions.fppized grid.fppized io.fppized io_tools.fppized movie.fppized prognostic.fppized registry.fppized tavg.fppized time_management.fppized timers.fppized vmix_kpp.fppized) $(addsuffix $(OBJ), diags_on_lat_aux_grid.fppized): diags_on_lat_aux_grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized gather_scatter.fppized global_reductions.fppized grid.fppized io.fppized io_tools.fppized kinds_mod.fppized registry.fppized timers.fppized) $(addsuffix $(OBJ), dice_comp_mod.fppized): dice_comp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized perf_mod.fppized seq_cdata_mod.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_flux_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_pcdf_mod.fppized shr_strdata_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), distribution.fppized): distribution.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized communicate.fppized exit_mod.fppized kinds_mod.fppized spacecurve_mod.fppized) $(addsuffix $(OBJ), dlnd_comp_mod.fppized): dlnd_comp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized perf_mod.fppized seq_cdata_mod.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_strdata_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), domain.fppized): domain.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_DistributionMod.fppized POP_DomainSizeMod.fppized POP_ErrorMod.fppized POP_HaloMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized distribution.fppized domain_size.fppized exit_mod.fppized io_types.fppized kinds_mod.fppized) $(addsuffix $(OBJ), domain_size.fppized): domain_size.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), drifters.fppized): drifters.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), ecosys_mod.fppized): ecosys_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized POP_MCT_vars_mod.fppized blocks.fppized broadcast.fppized co2calc.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized ecosys_parms.fppized exit_mod.fppized forcing_tools.fppized global_reductions.fppized grid.fppized io.fppized io_tools.fppized io_types.fppized kinds_mod.fppized named_field_mod.fppized passive_tracer_tools.fppized prognostic.fppized registry.fppized shr_strdata_mod.fppized shr_sys_mod.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), ecosys_parms.fppized): ecosys_parms.fppized.f90 $(addsuffix $(OBJ),$(basename ) broadcast.fppized communicate.fppized constants.fppized exit_mod.fppized io_tools.fppized io_types.fppized kinds_mod.fppized) $(addsuffix $(OBJ), exit_mod.fppized): exit_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized communicate.fppized constants.fppized kinds_mod.fppized) $(addsuffix $(OBJ), forcing.fppized): forcing.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized forcing_ap.fppized forcing_coupled.fppized forcing_fields.fppized forcing_pt_interior.fppized forcing_s_interior.fppized forcing_sfwf.fppized forcing_shf.fppized forcing_tools.fppized forcing_ws.fppized grid.fppized ice.fppized movie.fppized passive_tracers.fppized prognostic.fppized registry.fppized shr_sys_mod.fppized sw_absorption.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_ap.fppized): forcing_ap.fppized.f90 $(addsuffix $(OBJ),$(basename ) broadcast.fppized constants.fppized domain.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io.fppized kinds_mod.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_coupled.fppized): forcing_coupled.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized blocks.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_fields.fppized forcing_sfwf.fppized forcing_shf.fppized forcing_ws.fppized global_reductions.fppized grid.fppized ice.fppized io.fppized io_types.fppized kinds_mod.fppized ms_balance.fppized named_field_mod.fppized prognostic.fppized registry.fppized shr_orb_mod.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), forcing_fields.fppized): forcing_fields.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain_size.fppized kinds_mod.fppized) $(addsuffix $(OBJ), forcing_pt_interior.fppized): forcing_pt_interior.fppized.f90 $(addsuffix $(OBJ),$(basename ) broadcast.fppized constants.fppized domain.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io.fppized kinds_mod.fppized prognostic.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_s_interior.fppized): forcing_s_interior.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io.fppized kinds_mod.fppized prognostic.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_sfwf.fppized): forcing_sfwf.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized forcing_shf.fppized forcing_tools.fppized global_reductions.fppized grid.fppized ice.fppized io.fppized kinds_mod.fppized prognostic.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_shf.fppized): forcing_shf.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io.fppized kinds_mod.fppized prognostic.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_tools.fppized): forcing_tools.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain.fppized exit_mod.fppized grid.fppized io.fppized io_types.fppized kinds_mod.fppized time_management.fppized) $(addsuffix $(OBJ), forcing_ws.fppized): forcing_ws.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized forcing_tools.fppized grid.fppized io.fppized kinds_mod.fppized time_management.fppized) $(addsuffix $(OBJ), gather_scatter.fppized): gather_scatter.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized communicate.fppized constants.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized kinds_mod.fppized) $(addsuffix $(OBJ), glc_comp_mct.fppized): glc_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized) $(addsuffix $(OBJ), global_reductions.fppized): global_reductions.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized communicate.fppized constants.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized kinds_mod.fppized registry.fppized) $(addsuffix $(OBJ), grid.fppized): grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized gather_scatter.fppized global_reductions.fppized io.fppized registry.fppized) $(addsuffix $(OBJ), history.fppized): history.fppized.f90 $(addsuffix $(OBJ),$(basename ) broadcast.fppized constants.fppized domain.fppized exit_mod.fppized forcing.fppized forcing_fields.fppized forcing_shf.fppized grid.fppized io.fppized kinds_mod.fppized prognostic.fppized time_management.fppized) $(addsuffix $(OBJ), hmix_aniso.fppized): hmix_aniso.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ReductionsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized diagnostics.fppized distribution.fppized domain.fppized exit_mod.fppized gather_scatter.fppized grid.fppized io.fppized kinds_mod.fppized time_management.fppized) $(addsuffix $(OBJ), hmix_del2.fppized): hmix_del2.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized POP_ReductionsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized diagnostics.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io.fppized tavg.fppized time_management.fppized topostress.fppized) $(addsuffix $(OBJ), hmix_del4.fppized): hmix_del4.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized POP_ReductionsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized diagnostics.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), hmix_gm.fppized): hmix_gm.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized constants.fppized diagnostics.fppized distribution.fppized domain.fppized exit_mod.fppized grid.fppized hmix_gm_submeso_share.fppized io.fppized kinds_mod.fppized registry.fppized shr_sys_mod.fppized state_mod.fppized tavg.fppized time_management.fppized timers.fppized vertical_mix.fppized vmix_kpp.fppized) $(addsuffix $(OBJ), hmix_gm_submeso_share.fppized): hmix_gm_submeso_share.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain.fppized domain_size.fppized grid.fppized kinds_mod.fppized registry.fppized state_mod.fppized time_management.fppized) $(addsuffix $(OBJ), horizontal_mix.fppized): horizontal_mix.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ConstantsMod.fppized POP_ErrorMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized hmix_aniso.fppized hmix_del2.fppized hmix_del4.fppized hmix_gm.fppized hmix_gm_submeso_share.fppized io_types.fppized kinds_mod.fppized mix_submeso.fppized prognostic.fppized tavg.fppized time_management.fppized timers.fppized topostress.fppized vertical_mix.fppized) $(addsuffix $(OBJ), hydro_sections.fppized): hydro_sections.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), iage_mod.fppized): iage_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io.fppized io_tools.fppized io_types.fppized kinds_mod.fppized passive_tracer_tools.fppized prognostic.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), ice.fppized): ice.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain_size.fppized exit_mod.fppized grid.fppized io_types.fppized kinds_mod.fppized passive_tracers.fppized prognostic.fppized time_management.fppized) $(addsuffix $(OBJ), ice_comp_mct.fppized): ice_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized dice_comp_mod.fppized mct_mod.fppized seq_cdata_mod.fppized) $(addsuffix $(OBJ), initial.fppized): initial.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized POP_SolversMod.fppized advection.fppized baroclinic.fppized barotropic.fppized blocks.fppized broadcast.fppized budget_diagnostics.fppized communicate.fppized constants.fppized diagnostics.fppized domain.fppized domain_size.fppized ecosys_mod.fppized exit_mod.fppized forcing.fppized forcing_coupled.fppized forcing_sfwf.fppized forcing_shf.fppized forcing_ws.fppized gather_scatter.fppized global_reductions.fppized grid.fppized horizontal_mix.fppized ice.fppized io.fppized io_tools.fppized kinds_mod.fppized ms_balance.fppized output.fppized overflows.fppized passive_tracers.fppized pressure_grad.fppized prognostic.fppized qflux_mod.fppized registry.fppized restart.fppized shr_map_mod.fppized shr_ncread_mod.fppized state_mod.fppized step_mod.fppized surface_hgt.fppized sw_absorption.fppized tavg.fppized tidal_mixing.fppized time_management.fppized timers.f ppized topostress.fppized vertical_mix.fppized vmix_kpp.fppized) $(addsuffix $(OBJ), io.fppized): io.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized exit_mod.fppized io_binary.fppized io_netcdf.fppized io_types.fppized kinds_mod.fppized) $(addsuffix $(OBJ), io_binary.fppized): io_binary.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized gather_scatter.fppized io_types.fppized kinds_mod.fppized) $(addsuffix $(OBJ), io_ccsm.fppized): io_ccsm.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized exit_mod.fppized io_binary.fppized io_netcdf.fppized io_tools.fppized io_types.fppized kinds_mod.fppized) $(addsuffix $(OBJ), io_netcdf.fppized): io_netcdf.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized gather_scatter.fppized io_pio.fppized io_tools.fppized io_types.fppized kinds_mod.fppized pio.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), io_pio.fppized): io_pio.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_DomainSizeMod.fppized POP_IOUnitsMod.fppized blocks.fppized broadcast.fppized communicate.fppized domain.fppized exit_mod.fppized io_types.fppized kinds_mod.fppized pio.fppized shr_string_mod.fppized) $(addsuffix $(OBJ), io_tools.fppized): io_tools.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized communicate.fppized io_types.fppized kinds_mod.fppized) $(addsuffix $(OBJ), io_types.fppized): io_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized broadcast.fppized communicate.fppized constants.fppized exit_mod.fppized kinds_mod.fppized pio.fppized shr_file_mod.fppized) $(addsuffix $(OBJ), iompi_mod.fppized): iompi_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), ionf_mod.fppized): ionf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) alloc_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), lnd_comp_mct.fppized): lnd_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized dlnd_comp_mod.fppized mct_mod.fppized seq_cdata_mod.fppized) $(addsuffix $(OBJ), m_Accumulator.fppized): m_Accumulator.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_die.fppized m_mall.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AccumulatorComms.fppized): m_AccumulatorComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_Accumulator.fppized m_AttrVect.fppized m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVect.fppized): m_AttrVect.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_List.fppized m_SortingTools.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_mall.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVectComms.fppized): m_AttrVectComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_FcComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_AttrVectReduce.fppized): m_AttrVectReduce.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ConvertMaps.fppized): m_ConvertMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalMap.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_SortingTools.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ExchangeMaps.fppized): m_ExchangeMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_ConvertMaps.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_FcComms.fppized): m_FcComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mpif90.fppized m_realkinds.fppized) $(addsuffix $(OBJ), m_FileResolv.fppized): m_FileResolv.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_StrTemplate.fppized m_die.fppized) $(addsuffix $(OBJ), m_GeneralGrid.fppized): m_GeneralGrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GeneralGridComms.fppized): m_GeneralGridComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVectComms.fppized m_GeneralGrid.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalMap.fppized): m_GlobalMap.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalSegMap.fppized): m_GlobalSegMap.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_FcComms.fppized m_MCTWorld.fppized m_SortingTools.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalSegMapComms.fppized): m_GlobalSegMapComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_MCTWorld.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_GlobalToLocal.fppized): m_GlobalToLocal.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalMap.fppized m_GlobalSegMap.fppized m_Navigator.fppized m_SparseMatrix.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_char.fppized): m_IndexBin_char.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_integer.fppized): m_IndexBin_integer.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_IndexBin_logical.fppized): m_IndexBin_logical.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_List.fppized): m_List.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_String.fppized m_die.fppized m_mall.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MCTWorld.fppized): m_MCTWorld.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_List.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MatAttrVectMul.fppized): m_MatAttrVectMul.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_Rearranger.fppized m_SparseMatrix.fppized m_SparseMatrixPlus.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Merge.fppized): m_Merge.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_GeneralGrid.fppized m_List.fppized m_String.fppized m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_MergeSorts.fppized): m_MergeSorts.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Navigator.fppized): m_Navigator.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mall.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Permuter.fppized): m_Permuter.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_realkinds.fppized) $(addsuffix $(OBJ), m_Rearranger.fppized): m_Rearranger.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_GlobalSegMap.fppized m_MCTWorld.fppized m_Router.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Router.fppized): m_Router.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_ExchangeMaps.fppized m_GlobalSegMap.fppized m_GlobalToLocal.fppized m_MCTWorld.fppized m_MergeSorts.fppized m_Permuter.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SortingTools.fppized): m_SortingTools.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_IndexBin_char.fppized m_IndexBin_integer.fppized m_IndexBin_logical.fppized m_MergeSorts.fppized m_Permuter.fppized m_rankMerge.fppized) $(addsuffix $(OBJ), m_SparseMatrix.fppized): m_SparseMatrix.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_List.fppized m_String.fppized m_TraceBack.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixComms.fppized): m_SparseMatrixComms.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_SparseMatrixDecomp.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixDecomp.fppized): m_SparseMatrixDecomp.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectComms.fppized m_GlobalMap.fppized m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_die.fppized) $(addsuffix $(OBJ), m_SparseMatrixPlus.fppized): m_SparseMatrixPlus.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_GlobalToLocal.fppized m_List.fppized m_Rearranger.fppized m_SparseMatrix.fppized m_SparseMatrixComms.fppized m_SparseMatrixToMaps.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SparseMatrixToMaps.fppized): m_SparseMatrixToMaps.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_GlobalSegMap.fppized m_List.fppized m_SparseMatrix.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SpatialIntegral.fppized): m_SpatialIntegral.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectReduce.fppized m_GeneralGrid.fppized m_List.fppized m_SpatialIntegralV.fppized m_String.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_SpatialIntegralV.fppized): m_SpatialIntegralV.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_AttrVectReduce.fppized m_List.fppized m_die.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_StrTemplate.fppized): m_StrTemplate.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_String.fppized): m_String.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_mall.fppized m_mpif90.fppized) $(addsuffix $(OBJ), m_TraceBack.fppized): m_TraceBack.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_String.fppized m_die.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_Transfer.fppized): m_Transfer.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_AttrVect.fppized m_MCTWorld.fppized m_Router.fppized m_die.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_die.fppized): m_die.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_dropdead.fppized m_flow.fppized m_mpif90.fppized m_mpout.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_dropdead.fppized): m_dropdead.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_flow.fppized): m_flow.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized) $(addsuffix $(OBJ), m_inpak90.fppized): m_inpak90.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized m_ioutil.fppized m_mall.fppized m_mpif90.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_ioutil.fppized): m_ioutil.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_stdio.fppized) $(addsuffix $(OBJ), m_mall.fppized): m_mall.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_chars.fppized m_die.fppized m_ioutil.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_mpif90.fppized): m_mpif90.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_mpif.fppized m_realkinds.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_mpout.fppized): m_mpout.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_dropdead.fppized m_ioutil.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), m_rankMerge.fppized): m_rankMerge.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_realkinds.fppized) $(addsuffix $(OBJ), m_zeit.fppized): m_zeit.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_SortingTools.fppized m_die.fppized m_ioutil.fppized m_mpif90.fppized m_stdio.fppized) $(addsuffix $(OBJ), map_atmatm_mct.fppized): map_atmatm_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmice_mct.fppized): map_atmice_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmlnd_mct.fppized): map_atmlnd_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_atmocn_mct.fppized): map_atmocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_die.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_glcglc_mct.fppized): map_glcglc_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_iceice_mct.fppized): map_iceice_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_iceocn_mct.fppized): map_iceocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_lndlnd_mct.fppized): map_lndlnd_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_ocnocn_mct.fppized): map_ocnocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_rofocn_mct.fppized): map_rofocn_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized shr_mct_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_rofrof_mct.fppized): map_rofrof_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_snoglc_mct.fppized): map_snoglc_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), map_snosno_mct.fppized): map_snosno_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_rearr_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), mct_mod.fppized): mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) m_Accumulator.fppized m_AttrVect.fppized m_AttrVectComms.fppized m_GeneralGrid.fppized m_GeneralGridComms.fppized m_GlobalSegMap.fppized m_GlobalSegMapComms.fppized m_GlobalToLocal.fppized m_List.fppized m_MCTWorld.fppized m_MatAttrVectMul.fppized m_MergeSorts.fppized m_Permuter.fppized m_Rearranger.fppized m_Router.fppized m_SparseMatrix.fppized m_SparseMatrixComms.fppized m_SparseMatrixPlus.fppized m_SparseMatrixToMaps.fppized m_String.fppized m_Transfer.fppized m_die.fppized m_inpak90.fppized m_stdio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), mct_rearrange.fppized): mct_rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), mix_submeso.fppized): mix_submeso.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized exit_mod.fppized grid.fppized hmix_gm_submeso_share.fppized io.fppized kinds_mod.fppized registry.fppized shr_sys_mod.fppized tavg.fppized time_management.fppized vertical_mix.fppized vmix_kpp.fppized) $(addsuffix $(OBJ), movie.fppized): movie.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized blocks.fppized broadcast.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized global_reductions.fppized grid.fppized io.fppized kinds_mod.fppized prognostic.fppized registry.fppized time_management.fppized) $(addsuffix $(OBJ), mrg_x2a_mct.fppized): mrg_x2a_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2g_mct.fppized): mrg_x2g_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2i_mct.fppized): mrg_x2i_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2l_mct.fppized): mrg_x2l_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2o_mct.fppized): mrg_x2o_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), mrg_x2s_mct.fppized): mrg_x2s_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_flds_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), ms_balance.fppized): ms_balance.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized gather_scatter.fppized global_reductions.fppized grid.fppized ice.fppized io_tools.fppized kinds_mod.fppized time_management.fppized) $(addsuffix $(OBJ), msg_mod.fppized): msg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), named_field_mod.fppized): named_field_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized domain.fppized exit_mod.fppized kinds_mod.fppized) $(addsuffix $(OBJ), nf_mod.fppized): nf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) alloc_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), ocn_comp_mct.fppized): ocn_comp_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_FinalMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_IOUnitsMod.fppized POP_InitMod.fppized POP_KindsMod.fppized POP_MCT_vars_mod.fppized blocks.fppized communicate.fppized constants.fppized diagnostics.fppized domain.fppized exit_mod.fppized forcing_coupled.fppized forcing_fields.fppized forcing_sfwf.fppized forcing_shf.fppized global_reductions.fppized grid.fppized ice.fppized io_tools.fppized kinds_mod.fppized mct_mod.fppized named_field_mod.fppized ocn_communicator.fppized output.fppized perf_mod.fppized prognostic.fppized registry.fppized seq_cdata_mod.fppized seq_flds_indices.fppized seq_flds_mod.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_sys_mod.fppized step_mod.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), operators.fppized): operators.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain.fppized domain_size.fppized grid.fppized kinds_mod.fppized) $(addsuffix $(OBJ), output.fppized): output.fppized.f90 $(addsuffix $(OBJ),$(basename ) domain.fppized history.fppized kinds_mod.fppized movie.fppized overflows.fppized restart.fppized tavg.fppized timers.fppized) $(addsuffix $(OBJ), overflows.fppized): overflows.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_BlocksMod.fppized POP_CommMod.fppized POP_ConfigMod.fppized POP_DistributionMod.fppized POP_DomainSizeMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized POP_RedistributeMod.fppized POP_SolversMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized exit_mod.fppized gather_scatter.fppized global_reductions.fppized grid.fppized io_types.fppized kinds_mod.fppized prognostic.fppized registry.fppized shr_sys_mod.fppized time_management.fppized) $(addsuffix $(OBJ), passive_tracer_tools.fppized): passive_tracer_tools.fppized.f90 $(addsuffix $(OBJ),$(basename ) communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized io.fppized io_tools.fppized io_types.fppized kinds_mod.fppized prognostic.fppized) $(addsuffix $(OBJ), passive_tracers.fppized): passive_tracers.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized cfc_mod.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized ecosys_mod.fppized exit_mod.fppized forcing_shf.fppized grid.fppized iage_mod.fppized io_tools.fppized io_types.fppized kinds_mod.fppized prognostic.fppized registry.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), perf_mod.fppized): perf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) perf_utils.fppized) $(addsuffix $(OBJ), pio.fppized): pio.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized pio_kinds.fppized pio_types.fppized piodarray.fppized piolib_mod.fppized pionfatt_mod.fppized pionfget_mod.fppized pionfput_mod.fppized) $(addsuffix $(OBJ), pio_mpi_utils.fppized): pio_mpi_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), pio_nf_utils.fppized): pio_nf_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) nf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pionfget_mod.fppized pionfput_mod.fppized) $(addsuffix $(OBJ), pio_quicksort.fppized): pio_quicksort.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_spmd_utils.fppized): pio_spmd_utils.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized pio_support.fppized) $(addsuffix $(OBJ), pio_support.fppized): pio_support.fppized.f90 $(addsuffix $(OBJ),$(basename ) pio_kinds.fppized) $(addsuffix $(OBJ), pio_types.fppized): pio_types.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) pio_kinds.fppized) $(addsuffix $(OBJ), pio_utils.fppized): pio_utils.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), piodarray.fppized): piodarray.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized iompi_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pionfread_mod.fppized pionfwrite_mod.fppized rearrange.fppized) $(addsuffix $(OBJ), piolib_mod.fppized): piolib_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) alloc_mod.fppized iompi_mod.fppized ionf_mod.fppized pio_kinds.fppized pio_mpi_utils.fppized pio_support.fppized pio_types.fppized pionfread_mod.fppized pionfwrite_mod.fppized rearrange.fppized) $(addsuffix $(OBJ), pionfatt_mod.fppized): pionfatt_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfget_mod.fppized): pionfget_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfput_mod.fppized): pionfput_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) nf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfread_mod.fppized): pionfread_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) alloc_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pionfwrite_mod.fppized): pionfwrite_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) alloc_mod.fppized nf_mod.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized pio_utils.fppized) $(addsuffix $(OBJ), pressure_grad.fppized): pressure_grad.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain_size.fppized exit_mod.fppized grid.fppized io_types.fppized kinds_mod.fppized operators.fppized state_mod.fppized time_management.fppized) $(addsuffix $(OBJ), prognostic.fppized): prognostic.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain.fppized domain_size.fppized kinds_mod.fppized) $(addsuffix $(OBJ), qflux_mod.fppized): qflux_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) constants.fppized exit_mod.fppized kinds_mod.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), rearrange.fppized): rearrange.fppized.f90 $(addsuffix $(OBJ),$(basename ) box_rearrange.fppized pio_kinds.fppized pio_support.fppized pio_types.fppized) $(addsuffix $(OBJ), registry.fppized): registry.fppized.f90 $(addsuffix $(OBJ),$(basename ) exit_mod.fppized io_tools.fppized kinds_mod.fppized) $(addsuffix $(OBJ), restart.fppized): restart.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_ap.fppized forcing_fields.fppized forcing_pt_interior.fppized forcing_s_interior.fppized forcing_sfwf.fppized forcing_shf.fppized forcing_ws.fppized grid.fppized ice.fppized io.fppized io_types.fppized operators.fppized overflows.fppized passive_tracers.fppized prognostic.fppized registry.fppized time_management.fppized) $(addsuffix $(OBJ), seq_avdata_mod.fppized): seq_avdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_infodata_mod.fppized shr_kind_mod.fppized) $(addsuffix $(OBJ), seq_cdata_mod.fppized): seq_cdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_comm_mct.fppized): seq_comm_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized shr_file_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_diag_mct.fppized): seq_diag_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized seq_timemgr_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_domain_mct.fppized): seq_domain_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_iceocn_mct.fppized map_snoglc_mct.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_drydep_mod.fppized): seq_drydep_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flds_indices.fppized): seq_flds_indices.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized seq_flds_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flds_mod.fppized): seq_flds_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_drydep_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_flux_mct.fppized): seq_flux_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_flds_indices.fppized seq_infodata_mod.fppized shr_flux_mod.fppized shr_kind_mod.fppized shr_orb_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_frac_mct.fppized): seq_frac_mct.fppized.f90 $(addsuffix $(OBJ),$(basename ) map_atmice_mct.fppized map_atmlnd_mct.fppized map_atmocn_mct.fppized map_iceocn_mct.fppized mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_hist_mod.fppized): seq_hist_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_infodata_mod.fppized): seq_infodata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) seq_comm_mct.fppized seq_io_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_io_mod.fppized): seq_io_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized seq_comm_mct.fppized seq_flds_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_rearr_mod.fppized): seq_rearr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_flds_mod.fppized shr_kind_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_rest_mod.fppized): seq_rest_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized mct_mod.fppized seq_avdata_mod.fppized seq_cdata_mod.fppized seq_comm_mct.fppized seq_diag_mct.fppized seq_infodata_mod.fppized seq_io_mod.fppized seq_timemgr_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), seq_timemgr_mod.fppized): seq_timemgr_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized seq_comm_mct.fppized seq_io_mod.fppized shr_cal_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_cal_mod.fppized): shr_cal_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) ESMF_Mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_const_mod.fppized): shr_const_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_dmodel_mod.fppized): shr_dmodel_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized perf_mod.fppized pio.fppized seq_flds_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_map_mod.fppized shr_mpi_mod.fppized shr_ncread_mod.fppized shr_stream_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_file_mod.fppized): shr_file_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_flux_mod.fppized): shr_flux_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_infnan_mod.fppized): shr_infnan_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_log_mod.fppized): shr_log_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized) $(addsuffix $(OBJ), shr_map_mod.fppized): shr_map_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized shr_timer_mod.fppized) $(addsuffix $(OBJ), shr_mct_mod.fppized): shr_mct_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_pcdf_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_mem_mod.fppized): shr_mem_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), shr_mpi_mod.fppized): shr_mpi_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), shr_msg_mod.fppized): shr_msg_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_file_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_ncread_mod.fppized): shr_ncread_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_orb_mod.fppized): shr_orb_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_pcdf_mod.fppized): shr_pcdf_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized pio.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_scam_mod.fppized): shr_scam_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) mct_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_strdata_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_strdata_mod.fppized): shr_strdata_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mct_mod.fppized perf_mod.fppized pio.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_dmodel_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_map_mod.fppized shr_mct_mod.fppized shr_mpi_mod.fppized shr_orb_mod.fppized shr_stream_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized shr_tInterp_mod.fppized) $(addsuffix $(OBJ), shr_stream_mod.fppized): shr_stream_mod.fppized.f90 $(addsuffix $(OBJ),$(basename netcdf/netcdf.f90) perf_mod.fppized shr_cal_mod.fppized shr_const_mod.fppized shr_file_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized shr_string_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_string_mod.fppized): shr_string_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_cal_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized shr_timer_mod.fppized) $(addsuffix $(OBJ), shr_sys_mod.fppized): shr_sys_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_mpi_mod.fppized) $(addsuffix $(OBJ), shr_tInterp_mod.fppized): shr_tInterp_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_cal_mod.fppized shr_const_mod.fppized shr_kind_mod.fppized shr_log_mod.fppized shr_orb_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_timer_mod.fppized): shr_timer_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized shr_sys_mod.fppized) $(addsuffix $(OBJ), shr_vmath_mod.fppized): shr_vmath_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_kind_mod.fppized shr_log_mod.fppized) $(addsuffix $(OBJ), spacecurve_mod.fppized): spacecurve_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), state_mod.fppized): state_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized grid.fppized io.fppized kinds_mod.fppized shr_vmath_mod.fppized time_management.fppized) $(addsuffix $(OBJ), step_mod.fppized): step_mod.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_CommMod.fppized POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized baroclinic.fppized barotropic.fppized blocks.fppized budget_diagnostics.fppized communicate.fppized constants.fppized diagnostics.fppized domain.fppized domain_size.fppized forcing.fppized forcing_fields.fppized grid.fppized ice.fppized io_types.fppized overflows.fppized passive_tracers.fppized prognostic.fppized registry.fppized state_mod.fppized surface_hgt.fppized tavg.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), surface_hgt.fppized): surface_hgt.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized constants.fppized domain.fppized domain_size.fppized forcing_fields.fppized grid.fppized kinds_mod.fppized movie.fppized prognostic.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), sw_absorption.fppized): sw_absorption.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_IOUnitsMod.fppized POP_KindsMod.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized forcing_shf.fppized forcing_tools.fppized grid.fppized io.fppized io_types.fppized kinds_mod.fppized named_field_mod.fppized prognostic.fppized registry.fppized tavg.fppized time_management.fppized) $(addsuffix $(OBJ), tavg.fppized): tavg.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_IOUnitsMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized constants.fppized diag_bsf.fppized diags_on_lat_aux_grid.fppized distribution.fppized domain.fppized exit_mod.fppized gather_scatter.fppized global_reductions.fppized grid.fppized io.fppized io_ccsm.fppized io_tools.fppized io_types.fppized kinds_mod.fppized operators.fppized prognostic.fppized registry.fppized time_management.fppized timers.fppized) $(addsuffix $(OBJ), tidal_mixing.fppized): tidal_mixing.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized communicate.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized global_reductions.fppized grid.fppized io.fppized io_types.fppized kinds_mod.fppized tavg.fppized) $(addsuffix $(OBJ), time_management.fppized): time_management.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized constants.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io.fppized io_tools.fppized kinds_mod.fppized registry.fppized) $(addsuffix $(OBJ), timers.fppized): timers.fppized.f90 $(addsuffix $(OBJ),$(basename ) constants.fppized domain.fppized exit_mod.fppized global_reductions.fppized io.fppized kinds_mod.fppized perf_mod.fppized) $(addsuffix $(OBJ), topostress.fppized): topostress.fppized.f90 $(addsuffix $(OBJ),$(basename ) POP_ErrorMod.fppized POP_FieldMod.fppized POP_GridHorzMod.fppized POP_HaloMod.fppized POP_KindsMod.fppized blocks.fppized broadcast.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized grid.fppized io.fppized) $(addsuffix $(OBJ), tracer_types.fppized): tracer_types.fppized.f90 $(addsuffix $(OBJ),$(basename ) kinds_mod.fppized) $(addsuffix $(OBJ), vertical_mix.fppized): vertical_mix.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized constants.fppized diagnostics.fppized distribution.fppized domain.fppized exit_mod.fppized grid.fppized io.fppized kinds_mod.fppized prognostic.fppized state_mod.fppized tavg.fppized time_management.fppized timers.fppized vmix_const.fppized vmix_kpp.fppized vmix_rich.fppized) $(addsuffix $(OBJ), vmix_const.fppized): vmix_const.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized constants.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io.fppized kinds_mod.fppized state_mod.fppized) $(addsuffix $(OBJ), vmix_kpp.fppized): vmix_kpp.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized communicate.fppized constants.fppized distribution.fppized domain.fppized domain_size.fppized exit_mod.fppized grid.fppized io.fppized io_types.fppized kinds_mod.fppized prognostic.fppized registry.fppized state_mod.fppized sw_absorption.fppized tavg.fppized tidal_mixing.fppized time_management.fppized) $(addsuffix $(OBJ), vmix_rich.fppized): vmix_rich.fppized.f90 $(addsuffix $(OBJ),$(basename ) blocks.fppized broadcast.fppized constants.fppized distribution.fppized domain.fppized exit_mod.fppized grid.fppized io.fppized kinds_mod.fppized state_mod.fppized time_management.fppized) $(addsuffix $(OBJ), wrf_error_fatal.fppized): wrf_error_fatal.fppized.f90 $(addsuffix $(OBJ),$(basename ) shr_sys_mod.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=628 NAME=pop2_s SOURCES= netcdf/attr.c netcdf/dim.c netcdf/error.c netcdf/fort-attio.c \ netcdf/fort-control.c netcdf/fort-dim.c netcdf/fort-genatt.c \ netcdf/fort-geninq.c netcdf/fort-genvar.c netcdf/fort-lib.c \ netcdf/fort-misc.c netcdf/fort-v2compat.c netcdf/fort-var1io.c \ netcdf/fort-varaio.c netcdf/fort-vario.c netcdf/fort-varmio.c \ netcdf/fort-varsio.c netcdf/libvers.c netcdf/nc.c netcdf/ncx.c \ netcdf/posixio.c netcdf/putget.c netcdf/string.c netcdf/v1hpg.c \ netcdf/v2i.c netcdf/var.c netcdf/netcdf.f90 netcdf/typeSizes.f90 \ fort.F90 mpi.c send.c recv.c collective.c req.c list.c handles.c comm.c \ group.c time.c pack.c m_IndexBin_char.F90 m_IndexBin_integer.F90 \ m_IndexBin_logical.F90 m_List.F90 m_MergeSorts.F90 m_Filename.F90 \ m_FcComms.F90 m_Permuter.F90 m_SortingTools.F90 m_String.F90 \ m_StrTemplate.F90 m_chars.F90 m_die.F90 m_dropdead.F90 m_FileResolv.F90 \ m_flow.F90 m_inpak90.F90 m_ioutil.F90 m_mall.F90 m_mpif.F90 m_mpif90.F90 \ m_mpout.F90 m_rankMerge.F90 m_realkinds.F90 m_stdio.F90 m_TraceBack.F90 \ m_zeit.F90 get_zeits.c m_MCTWorld.F90 m_AttrVect.F90 m_GlobalMap.F90 \ m_GlobalSegMap.F90 m_GlobalSegMapComms.F90 m_Accumulator.F90 \ m_SparseMatrix.F90 m_Navigator.F90 m_AttrVectComms.F90 \ m_AttrVectReduce.F90 m_AccumulatorComms.F90 m_GeneralGrid.F90 \ m_GeneralGridComms.F90 m_SpatialIntegral.F90 m_SpatialIntegralV.F90 \ m_MatAttrVectMul.F90 m_Merge.F90 m_GlobalToLocal.F90 m_ExchangeMaps.F90 \ m_ConvertMaps.F90 m_SparseMatrixDecomp.F90 m_SparseMatrixToMaps.F90 \ m_SparseMatrixComms.F90 m_SparseMatrixPlus.F90 m_Router.F90 \ m_Rearranger.F90 m_Transfer.F90 alloc_mod.F90 box_rearrange.F90 \ iompi_mod.F90 ionf_mod.F90 mct_rearrange.F90 nf_mod.F90 piodarray.F90 \ pio.F90 pio_kinds.F90 piolib_mod.F90 pio_mpi_utils.F90 pionfatt_mod.F90 \ pionfget_mod.F90 pionfput_mod.F90 pionfread_mod.F90 pio_nf_utils.F90 \ pionfwrite_mod.F90 pio_quicksort.F90 pio_spmd_utils.F90 pio_support.F90 \ pio_types.F90 pio_utils.F90 pnetcdfversion.c rearrange.F90 topology.c \ dead_data_mod.F90 dead_mct_mod.F90 dead_mod.F90 ESMF_AlarmClockMod.F90 \ ESMF_AlarmMod.F90 ESMF_BaseMod.F90 ESMF_BaseTimeMod.F90 \ ESMF_CalendarMod.F90 ESMF_ClockMod.F90 ESMF_FractionMod.F90 ESMF_Mod.F90 \ ESMF_Stubs.F90 ESMF_TimeIntervalMod.F90 ESMF_TimeMod.F90 f_wrappers.c \ GPTLget_memusage.c GPTLprint_memusage.c GPTLutil.c mct_mod.F90 Meat.F90 \ perf_mod.F90 perf_utils.F90 seq_cdata_mod.F90 seq_comm_mct.F90 \ seq_drydep_mod.F90 seq_flds_indices.F90 seq_flds_mod.F90 \ seq_infodata_mod.F90 seq_io_mod.F90 seq_timemgr_mod.F90 shr_cal_mod.F90 \ shr_const_mod.F90 shr_dmodel_mod.F90 shr_file_mod.F90 shr_flux_mod.F90 \ shr_jlcp.c shr_kind_mod.F90 shr_log_mod.F90 shr_map_mod.F90 \ shr_mct_mod.F90 shr_mem_mod.F90 shr_mpi_mod.F90 shr_msg_mod.F90 \ shr_ncread_mod.F90 shr_orb_mod.F90 shr_pcdf_mod.F90 shr_scam_mod.F90 \ shr_strdata_mod.F90 shr_stream_mod.F90 shr_string_mod.F90 \ shr_sys_mod.F90 shr_timer_mod.F90 shr_tInterp_mod.F90 shr_vmath_fwrap.c \ shr_vmath_mod.F90 threadutil.c wrf_error_fatal.F90 wrf_message.F90 \ atm_comp_mct.F90 datm_comp_mod.F90 datm_shr_mod.F90 dlnd_comp_mod.F90 \ lnd_comp_mct.F90 dice_comp_mod.F90 ice_comp_mct.F90 POP_BlocksMod.F90 \ POP_BroadcastMod.F90 POP_CommMod.F90 POP_ConfigMod.F90 \ POP_ConstantsMod.F90 POP_DistributionMod.F90 POP_DomainSizeMod.F90 \ POP_ErrorMod.F90 POP_FieldMod.F90 POP_FinalMod.F90 POP_GridDimMod.F90 \ POP_GridHorzMod.F90 POP_GridVertMod.F90 POP_HaloMod.F90 \ POP_IOUnitsMod.F90 POP_InitMod.F90 POP_KindsMod.F90 POP_MCT_vars_mod.F90 \ POP_RedistributeMod.F90 POP_ReductionsMod.F90 POP_SolversMod.F90 \ advection.F90 baroclinic.F90 barotropic.F90 blocks.F90 broadcast.F90 \ budget_diagnostics.F90 cfc11_mod.F90 cfc_mod.F90 check_mod.F90 \ co2calc.F90 communicate.F90 constants.F90 current_meters.F90 \ diag_bsf.F90 diagnostics.F90 diags_on_lat_aux_grid.F90 distribution.F90 \ domain.F90 domain_size.F90 drifters.F90 ecosys_mod.F90 ecosys_parms.F90 \ exit_mod.F90 forcing.F90 forcing_ap.F90 forcing_coupled.F90 \ forcing_fields.F90 forcing_pt_interior.F90 forcing_s_interior.F90 \ forcing_sfwf.F90 forcing_shf.F90 forcing_tools.F90 forcing_ws.F90 \ gather_scatter.F90 global_reductions.F90 grid.F90 history.F90 \ hmix_aniso.F90 hmix_del2.F90 hmix_del4.F90 hmix_gm.F90 \ hmix_gm_submeso_share.F90 horizontal_mix.F90 hydro_sections.F90 \ iage_mod.F90 ice.F90 initial.F90 io.F90 io_binary.F90 io_ccsm.F90 \ io_netcdf.F90 io_pio.F90 io_tools.F90 io_types.F90 kinds_mod.F90 \ mix_submeso.F90 movie.F90 ms_balance.F90 msg_mod.F90 named_field_mod.F90 \ ocn_communicator.F90 ocn_comp_mct.F90 operators.F90 output.F90 \ overflows.F90 passive_tracer_tools.F90 passive_tracers.F90 \ pressure_grad.F90 prognostic.F90 qflux_mod.F90 registry.F90 restart.F90 \ spacecurve_mod.F90 state_mod.F90 step_mod.F90 surface_hgt.F90 \ sw_absorption.F90 tavg.F90 tidal_mixing.F90 time_management.F90 \ timers.F90 topostress.F90 tracer_types.F90 vertical_mix.F90 \ vmix_const.F90 vmix_kpp.F90 vmix_rich.F90 glc_comp_mct.F90 \ ccsm_driver.F90 map_atmatm_mct.F90 map_atmice_mct.F90 map_atmlnd_mct.F90 \ map_atmocn_mct.F90 map_glcglc_mct.F90 map_iceice_mct.F90 \ map_iceocn_mct.F90 map_lndlnd_mct.F90 map_ocnocn_mct.F90 \ map_rofocn_mct.F90 map_rofrof_mct.F90 map_snoglc_mct.F90 \ map_snosno_mct.F90 mrg_x2a_mct.F90 mrg_x2g_mct.F90 mrg_x2i_mct.F90 \ mrg_x2l_mct.F90 mrg_x2o_mct.F90 mrg_x2s_mct.F90 seq_avdata_mod.F90 \ seq_diag_mct.F90 seq_domain_mct.F90 seq_flux_mct.F90 seq_frac_mct.F90 \ seq_hist_mod.F90 seq_rearr_mod.F90 seq_rest_mod.F90 EXEBASE=speed_pop2 NEED_MATH= BENCHLANG=F C BENCH_CFLAGS = -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 BENCH_FPPFLAGS = -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CPORTABILITY = -DSPEC_CASE_FLAG CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v FPORTABILITY = -fconvert=big-endian OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 action = build allow_label_override = 0 backup_config = 1 baseexe = speed_pop2 basepeak = 0 benchdir = benchspec benchmark = 628.pop2_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = speed_pop2_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = pop2_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 628 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.906000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = ocn.log Compile for '628.pop2_s' started at: 2021-07-08 15:41:40 (1625773300) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:41:40 (1625773300.34631) rm -rf *.o ocn.log find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf speed_pop2 rm -rf speed_pop2.exe rm -rf core Stop make.clean command: 2021-07-08 15:41:40 (1625773300.51008) Elapsed time for make.clean command: 00:00:00 (0.16376805305481) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:41:40 (1625773300.5134) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/attr.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/attr.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/dim.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/dim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/error.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/error.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-attio.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-attio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-control.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-control.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-dim.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-dim.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-genatt.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-genatt.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-geninq.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-geninq.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-genvar.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-genvar.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-lib.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-lib.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-misc.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-misc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-v2compat.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-v2compat.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-var1io.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-var1io.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varaio.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varaio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-vario.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-vario.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varmio.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varmio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/fort-varsio.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/fort-varsio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/libvers.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/libvers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/nc.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/nc.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/ncx.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/ncx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/posixio.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/posixio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/putget.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/putget.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/string.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/string.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/v1hpg.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/v1hpg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/v2i.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/v2i.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o netcdf/var.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 netcdf/var.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o netcdf/typeSizes.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp netcdf/typeSizes.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o netcdf/netcdf.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp netcdf/netcdf.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 fort.F90 -o fort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o fort.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp fort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o mpi.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 mpi.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o send.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 send.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o recv.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 recv.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o collective.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 collective.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o req.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 req.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o list.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 list.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o handles.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 handles.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o comm.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 comm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o group.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 group.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o time.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 time.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pack.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 pack.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_IndexBin_char.F90 -o m_IndexBin_char.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_die.F90 -o m_die.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_dropdead.F90 -o m_dropdead.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_mpif90.F90 -o m_mpif90.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_mpif.F90 -o m_mpif.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mpif.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_mpif.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_realkinds.F90 -o m_realkinds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_realkinds.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_realkinds.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_stdio.F90 -o m_stdio.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_stdio.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_stdio.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mpif90.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_mpif90.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_dropdead.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_dropdead.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_flow.F90 -o m_flow.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_chars.F90 -o m_chars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_chars.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_chars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_flow.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_flow.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_mpout.F90 -o m_mpout.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_ioutil.F90 -o m_ioutil.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_ioutil.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_ioutil.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mpout.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_mpout.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_die.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_die.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_IndexBin_char.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_IndexBin_char.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_IndexBin_integer.F90 -o m_IndexBin_integer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_IndexBin_integer.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_IndexBin_integer.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_IndexBin_logical.F90 -o m_IndexBin_logical.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_IndexBin_logical.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_IndexBin_logical.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_List.F90 -o m_List.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_String.F90 -o m_String.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_mall.F90 -o m_mall.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_mall.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_mall.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_String.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_String.fppized.f90 m_String.fppized.f90:475:17: 454 | call MPI_bcast(ln,1,MP_INTEGER,root,comm,ier) | 2 ...... 475 | call MPI_bcast(Str%c(1),ln,MP_CHARACTER,root,comm,ier) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(1)/INTEGER(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_List.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_List.fppized.f90 m_List.fppized.f90:1943:15: 1925 | call MPI_RECV(length, 1, MP_type(length), source, TagBase, comm, & | 2 ...... 1943 | call MPI_RECV(DummStr%c(1), length, MP_CHARACTER, source, TagBase+1, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(1)/INTEGER(4)). m_List.fppized.f90:1844:15: 1829 | call MPI_SEND(length, 1, MP_type(length), dest, TagBase, comm, ierr) | 2 ...... 1844 | call MPI_SEND(DummStr%c(1), length, MP_CHARACTER, dest, TagBase+1, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(1)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_MergeSorts.F90 -o m_MergeSorts.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_MergeSorts.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_MergeSorts.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Filename.F90 -o m_Filename.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Filename.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_Filename.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_FcComms.F90 -o m_FcComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_FcComms.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_FcComms.fppized.f90 m_FcComms.fppized.f90:416:33: 416 | call mpi_irecv ( recvbuf(displs(q)+1), recvcnts(q), & | 1 ...... 559 | call mpi_irecv ( recvbuf(displs(q)+1), recvcnts(q), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:419:32: 419 | call mpi_send ( signal, 1, recvtype, p, mtag, comm, ier ) | 1 ...... 562 | call mpi_send ( signal, 1, recvtype, p, mtag, comm, ier ) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:438:26: 438 | call mpi_recv ( signal, 1, sendtype, root, mtag, comm, & | 1 ...... 581 | call mpi_recv ( signal, 1, sendtype, root, mtag, comm, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:440:27: 440 | call mpi_rsend ( sendbuf, sendcnt, sendtype, root, mtag, & | 1 ...... 583 | call mpi_rsend ( sendbuf, sendcnt, sendtype, root, mtag, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:451:22: 451 | call mpi_gatherv (sendbuf, sendcnt, sendtype, & | 1 ...... 594 | call mpi_gatherv (sendbuf, sendcnt, sendtype, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). m_FcComms.fppized.f90:167:21: 167 | call mpi_gather (sendbuf, sendcnt, sendtype, & | 1 ...... 309 | call mpi_gather (sendbuf, sendcnt, sendtype, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Permuter.F90 -o m_Permuter.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Permuter.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_Permuter.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SortingTools.F90 -o m_SortingTools.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_rankMerge.F90 -o m_rankMerge.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_rankMerge.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_rankMerge.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SortingTools.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_SortingTools.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_StrTemplate.F90 -o m_StrTemplate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_StrTemplate.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_StrTemplate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_FileResolv.F90 -o m_FileResolv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_FileResolv.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_FileResolv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_inpak90.F90 -o m_inpak90.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_inpak90.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_inpak90.fppized.f90 m_inpak90.fppized.f90:369:17: 362 | call MPI_Bcast(i90_now%buffer,NBUF_MAX,MP_CHARACTER,root,comm,ier) | 2 ...... 369 | call MPI_Bcast(i90_now%nbuf,1,MP_INTEGER,root,comm,ier) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/CHARACTER(*)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_TraceBack.F90 -o m_TraceBack.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_TraceBack.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_TraceBack.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_zeit.F90 -o m_zeit.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_zeit.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_zeit.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o get_zeits.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 get_zeits.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_MCTWorld.F90 -o m_MCTWorld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_MCTWorld.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_MCTWorld.fppized.f90 m_MCTWorld.fppized.f90:323:22: 263 | call MPI_SEND(mysize,1,MP_INTEGER,0,myids(i),globalcomm,ier) | 2 ...... 323 | call MPI_SEND(Gprocids,mysize,MP_INTEGER,0,myids(i),globalcomm,ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_AttrVect.F90 -o m_AttrVect.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AttrVect.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_AttrVect.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_GlobalMap.F90 -o m_GlobalMap.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalMap.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_GlobalMap.fppized.f90 m_GlobalMap.fppized.f90:377:17: 354 | call MPI_bcast(nPEs, 1, MP_INTEGER, my_root, my_comm, ier) | 2 ...... 377 | call MPI_bcast(GMap%counts, nPEs, MP_INTEGER, my_root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalMap.fppized.f90:257:17: 257 | call MPI_bcast(GMap%counts, nPEs, MP_INTEGER, root, comm, ier) | 1 ...... 354 | call MPI_bcast(nPEs, 1, MP_INTEGER, my_root, my_comm, ier) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_GlobalSegMap.F90 -o m_GlobalSegMap.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalSegMap.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_GlobalSegMap.fppized.f90 m_GlobalSegMap.fppized.f90:549:17: 520 | call MPI_BCAST(GSMap%ngseg, 1, MP_INTEGER, root, my_comm, ier) | 2 ...... 549 | call MPI_BCAST(GSMap%start, GSMap%ngseg, MP_INTEGER, root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMap.fppized.f90:552:17: 520 | call MPI_BCAST(GSMap%ngseg, 1, MP_INTEGER, root, my_comm, ier) | 2 ...... 552 | call MPI_BCAST(GSMap%length, GSMap%ngseg, MP_INTEGER, root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMap.fppized.f90:555:17: 520 | call MPI_BCAST(GSMap%ngseg, 1, MP_INTEGER, root, my_comm, ier) | 2 ...... 555 | call MPI_BCAST(GSMap%pe_loc, GSMap%ngseg, MP_INTEGER, root, my_comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_GlobalSegMapComms.F90 -o m_GlobalSegMapComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalSegMapComms.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_GlobalSegMapComms.fppized.f90 m_GlobalSegMapComms.fppized.f90:258:17: 238 | call MPI_ISEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 258 | call MPI_ISEND(outgoingGSMap%start, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:265:17: 238 | call MPI_ISEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 265 | call MPI_ISEND(outgoingGSMap%length, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:272:17: 238 | call MPI_ISEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 272 | call MPI_ISEND(outgoingGSMap%pe_loc, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:133:16: 112 | call MPI_SEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 133 | call MPI_SEND(outgoingGSMap%start, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:140:16: 112 | call MPI_SEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 140 | call MPI_SEND(outgoingGSMap%length, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) m_GlobalSegMapComms.fppized.f90:147:16: 112 | call MPI_SEND(outgoingGSMap%comp_id, 1, MP_Type(outgoingGSMap%comp_id), destID, & | 2 ...... 147 | call MPI_SEND(outgoingGSMap%pe_loc, nsegs, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Accumulator.F90 -o m_Accumulator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Accumulator.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_Accumulator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrix.F90 -o m_SparseMatrix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrix.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_SparseMatrix.fppized.f90 m_SparseMatrix.fppized.f90:2374:21: 2344 | call MPI_ALLREDUCE(end_row, num_rows, 1, MP_INTEGER, MP_MAX, & | 2 ...... 2374 | call MPI_ALLREDUCE(lsums, gsums, num_rows, mp_Type_lsums, MP_SUM, comm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Navigator.F90 -o m_Navigator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Navigator.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_Navigator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_AttrVectComms.F90 -o m_AttrVectComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AttrVectComms.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_AttrVectComms.fppized.f90 m_AttrVectComms.fppized.f90:1657:20: 1570 | call MPI_bcast(nIA,1,MP_INTEGER,root,comm,ier) | 2 ...... 1657 | call MPI_bcast(aV%iAttr,nIA*lsize,mp_Type_aV,root,comm,ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-2) m_AttrVectComms.fppized.f90:1669:20: 1570 | call MPI_bcast(nIA,1,MP_INTEGER,root,comm,ier) | 2 ...... 1669 | call MPI_bcast(aV%rAttr,nRA*lsize,mp_Type_aV,root,comm,ier) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_AttrVectComms.fppized.f90:1070:26: 1041 | call MPI_scatterv(iV%iAttr(1,1),GMap%counts*nIA, & | 2 ...... 1070 | call MPI_scatterv(iV%rAttr(1,1),GMap%counts*nRA, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_AttrVectComms.fppized.f90:356:16: 319 | call MPI_RECV(ListAssoc, 2, MP_LOGICAL, dest, TagBase, comm, & | 2 ...... 356 | call MPI_RECV(AVlength, 1, MP_type(AVlength), dest, TagBase+5, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). m_AttrVectComms.fppized.f90:206:16: 163 | call MPI_SEND(ListAssoc, 2, MP_LOGICAL, dest, TagBase, comm, ierr) | 2 ...... 206 | call MPI_SEND(AVlength, 1, MP_type(AVlength), dest, TagBase+5, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_AttrVectReduce.F90 -o m_AttrVectReduce.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AttrVectReduce.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_AttrVectReduce.fppized.f90 m_AttrVectReduce.fppized.f90:535:27: 503 | call MPI_AllReduce(inAV%rAttr, outAV%rAttr, BufferSize, & | 2 ...... 535 | call MPI_AllReduce(inAV%iAttr, outAV%iAttr, BufferSize, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_AccumulatorComms.F90 -o m_AccumulatorComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_AccumulatorComms.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_AccumulatorComms.fppized.f90 m_AccumulatorComms.fppized.f90:713:17: 677 | call MPI_BCAST(AccBuffSize, 1, MP_INTEGER, root, comm, ier) | 2 ...... 713 | call MPI_BCAST(AccBuff, AccBuffSize, MP_INTEGER, root, comm, ier) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_GeneralGrid.F90 -o m_GeneralGrid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GeneralGrid.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_GeneralGrid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_GeneralGridComms.F90 -o m_GeneralGridComms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GeneralGridComms.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_GeneralGridComms.fppized.f90 m_GeneralGridComms.fppized.f90:1369:20: 1281 | call MPI_BCAST(HeaderAssoc,6,MP_LOGICAL,root,comm,ierr) | 2 ...... 1369 | call MPI_BCAST(DescendSize, 1, MP_INTEGER, root, comm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). m_GeneralGridComms.fppized.f90:476:19: 424 | call MPI_RECV(HeaderAssoc, 6, MP_LOGICAL, source, TagBase, ThisMCTWorld%MCT_comm, MPstatus, ierr) | 2 ...... 476 | call MPI_RECV(DescendSize, 1, MP_type(DescendSize), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). m_GeneralGridComms.fppized.f90:210:19: 156 | call MPI_SEND(HeaderAssoc, 6, MP_LOGICAL, dest, TagBase, ThisMCTWorld%MCT_comm, ierr) | 2 ...... 210 | call MPI_SEND(size(iGGrid%descend), 1, MP_type(size(iGGrid%descend)), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SpatialIntegral.F90 -o m_SpatialIntegral.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SpatialIntegralV.F90 -o m_SpatialIntegralV.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SpatialIntegralV.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_SpatialIntegralV.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SpatialIntegral.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_SpatialIntegral.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_MatAttrVectMul.F90 -o m_MatAttrVectMul.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Rearranger.F90 -o m_Rearranger.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Router.F90 -o m_Router.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_ExchangeMaps.F90 -o m_ExchangeMaps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_ConvertMaps.F90 -o m_ConvertMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_ConvertMaps.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_ConvertMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_ExchangeMaps.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_ExchangeMaps.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_GlobalToLocal.F90 -o m_GlobalToLocal.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_GlobalToLocal.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_GlobalToLocal.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Router.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_Router.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Rearranger.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_Rearranger.fppized.f90 m_Rearranger.fppized.f90:926:26: 899 | call MPI_IRECV(IRecvBuf(IRecvLoc(proc)), & | 2 ...... 926 | call MPI_IRECV(RRecvBuf(RRecvLoc(proc)), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_Rearranger.fppized.f90:1014:26: 987 | call MPI_ISEND(ISendBuf(ISendLoc(proc)), & | 2 ...... 1014 | call MPI_ISEND(RSendBuf(RSendLoc(proc)), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_Rearranger.fppized.f90:1091:24: 1085 | call MPI_Alltoallv(ISendBuf, ISendCnts, ISdispls, MP_INTEGER, & | 2 ...... 1091 | call MPI_Alltoallv(RSendBuf, RSendCnts, RSdispls, mp_Type_rp, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixPlus.F90 -o m_SparseMatrixPlus.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixComms.F90 -o m_SparseMatrixComms.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixDecomp.F90 -o m_SparseMatrixDecomp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixDecomp.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_SparseMatrixDecomp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixComms.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_SparseMatrixComms.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_SparseMatrixToMaps.F90 -o m_SparseMatrixToMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixToMaps.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_SparseMatrixToMaps.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_SparseMatrixPlus.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_SparseMatrixPlus.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_MatAttrVectMul.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_MatAttrVectMul.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Merge.F90 -o m_Merge.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Merge.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_Merge.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 m_Transfer.F90 -o m_Transfer.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o m_Transfer.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp m_Transfer.fppized.f90 m_Transfer.fppized.f90:551:26: 526 | call MPI_IRECV(Rout%ip1(proc)%pi(1), & | 2 ...... 551 | call MPI_IRECV(Rout%rp1(proc)%pr(1), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). m_Transfer.fppized.f90:256:22: 240 | call MPI_ISEND(Rout%ip1(proc)%pi(1), & | 2 ...... 256 | call MPI_ISEND(Rout%rp1(proc)%pr(1), & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 alloc_mod.F90 -o alloc_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_kinds.F90 -o pio_kinds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_kinds.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pio_kinds.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_support.F90 -o pio_support.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_support.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pio_support.fppized.f90 pio_support.fppized.f90:264:30: 261 | call MPI_SEND(sdof,1,MPI_INTEGER,n,n,comm,status,ierr) | 2 ...... 264 | call MPI_SEND(wdof,sdof,MPI_INTEGER,n,npes+n,comm,status,ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_support.fppized.f90:277:24: 272 | call MPI_RECV(sdof,1,MPI_INTEGER,masterproc,myrank,comm,status,ierr) | 2 ...... 277 | call MPI_RECV(dof,sdof,MPI_INTEGER,masterproc,npes+myrank,comm,status,ierr) | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_support.fppized.f90:169:27: 169 | call MPI_SEND(dof,sdof,MPI_INTEGER,masterproc,n,comm,status,ierr) | 1 ...... 261 | call MPI_SEND(sdof,1,MPI_INTEGER,n,n,comm,status,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pio_support.fppized.f90:173:27: 173 | call MPI_RECV(wdof,sdof1d(n),MPI_INTEGER,n,n,comm,status,ierr) | 1 ...... 272 | call MPI_RECV(sdof,1,MPI_INTEGER,masterproc,myrank,comm,status,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_types.F90 -o pio_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_types.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pio_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o alloc_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp alloc_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 box_rearrange.F90 -o box_rearrange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_spmd_utils.F90 -o pio_spmd_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_spmd_utils.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pio_spmd_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o box_rearrange.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp box_rearrange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 iompi_mod.F90 -o iompi_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o iompi_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp iompi_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ionf_mod.F90 -o ionf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_utils.F90 -o pio_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_utils.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pio_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ionf_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ionf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mct_rearrange.F90 -o mct_rearrange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mct_rearrange.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mct_rearrange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 nf_mod.F90 -o nf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp nf_mod.fppized.f90 nf_mod.fppized.f90:1016:28: 1016 | call MPI_BCAST(dimname,len(dimname),MPI_CHARACTER,0,File%iosystem%IO_comm, mpierr) | 1 ...... 1291 | call MPI_BCAST(vardesc%varid, 1, MPI_INTEGER, 0, File%iosystem%IO_Comm, ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(*)/INTEGER(4)). nf_mod.fppized.f90:815:28: 815 | call MPI_BCAST(dimids,size(dimids),MPI_INTEGER,0,File%iosystem%IO_comm, mpierr) | 1 ...... 1291 | call MPI_BCAST(vardesc%varid, 1, MPI_INTEGER, 0, File%iosystem%IO_Comm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:826:22: 826 | call MPI_BCAST(dimids,size(dimids),MPI_INTEGER,File%iosystem%IOMaster,File%iosystem%Comp_comm, mpierr) | 1 ...... 1291 | call MPI_BCAST(vardesc%varid, 1, MPI_INTEGER, 0, File%iosystem%IO_Comm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:206:28: 206 | call MPI_BCAST(vals,4,MPI_INTEGER,0,File%iosystem%IO_comm, mpierr) | 1 ...... 1291 | call MPI_BCAST(vardesc%varid, 1, MPI_INTEGER, 0, File%iosystem%IO_Comm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) nf_mod.fppized.f90:220:22: 220 | call MPI_BCAST(vals,4,MPI_INTEGER,File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 1 ...... 1291 | call MPI_BCAST(vardesc%varid, 1, MPI_INTEGER, 0, File%iosystem%IO_Comm, ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 piodarray.F90 -o piodarray.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pionfread_mod.F90 -o pionfread_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfread_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pionfread_mod.fppized.f90 pionfread_mod.fppized.f90:395:28: 387 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 ...... 395 | call MPI_SEND( iobuf_size,1,MPI_INTEGER, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:423:31: 399 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 ...... 423 | call MPI_RECV( iobuf_size, 1, MPI_INTEGER, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:250:28: 250 | call MPI_SEND( iobuf_size,1,MPI_INTEGER, & | 1 ...... 387 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:254:28: 254 | call MPI_RECV( IOBUF,size(IOBUF), & | 1 ...... 399 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfread_mod.fppized.f90:278:31: 278 | call MPI_RECV( iobuf_size, 1, MPI_INTEGER, & | 1 ...... 399 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:285:31: 285 | call MPI_SEND( IOBUF,iobuf_size, & | 1 ...... 387 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfread_mod.fppized.f90:105:28: 105 | call MPI_SEND( iobuf_size,1,MPI_INTEGER, & | 1 ...... 387 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfread_mod.fppized.f90:133:31: 133 | call MPI_RECV( iobuf_size, 1, MPI_INTEGER, & | 1 ...... 399 | call MPI_RECV( IOBUF,size(IOBUF), & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pionfwrite_mod.F90 -o pionfwrite_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfwrite_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pionfwrite_mod.fppized.f90 pionfwrite_mod.fppized.f90:464:28: 459 | call MPI_SEND( temp_IOBUF,max_iobuf_size, & | 2 ...... 464 | call MPI_SEND( temp_start,ndims,MPI_INTEGER, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). pionfwrite_mod.fppized.f90:493:34: 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 ...... 493 | call MPI_RECV( temp_iobuf, max_iobuf_size, & | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfwrite_mod.fppized.f90:499:34: 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 ...... 499 | call MPI_RECV( temp_start, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:505:34: 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 ...... 505 | call MPI_RECV( temp_count, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:319:34: 319 | call MPI_RECV( temp_iobuf, max_iobuf_size, & | 1 ...... 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:325:34: 325 | call MPI_RECV( temp_start, & | 1 ...... 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:331:34: 331 | call MPI_RECV( temp_count, & | 1 ...... 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:151:34: 151 | call MPI_RECV( temp_start, & | 1 ...... 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) pionfwrite_mod.fppized.f90:157:34: 157 | call MPI_RECV( temp_count, & | 1 ...... 451 | call MPI_RECV( i, 1, MPI_INTEGER, 0, file%iosystem%io_rank, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 rearrange.F90 -o rearrange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rearrange.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp rearrange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o piodarray.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp piodarray.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio.F90 -o pio.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 piolib_mod.F90 -o piolib_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_mpi_utils.F90 -o pio_mpi_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_mpi_utils.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pio_mpi_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o piolib_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp piolib_mod.fppized.f90 piolib_mod.fppized.f90:1673:19: 1666 | call mpi_bcast(amode, 1, MPI_INTEGER, 0, iosystem%comp_comm, ierr) | 2 ...... 1673 | call mpi_bcast(myfname, len(fname), mpi_character, 0, iosystem%comp_comm, ierr) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (CHARACTER(360)/INTEGER(4)). piolib_mod.fppized.f90:808:26: 808 | call mpi_allreduce(iosize, iodesc%maxiobuflen, 1, mpi_integer, mpi_max, iosystem%io_comm, ierr) | 1 ...... 1026 | call mpi_allreduce(fanfactor,rtmp,1,MPI_REAL8,MPI_MAX,iocomm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pionfatt_mod.F90 -o pionfatt_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfatt_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pionfatt_mod.fppized.f90 pionfatt_mod.fppized.f90:1065:28: 1065 | call MPI_BCAST(value,clen ,MPI_INTEGER ,0,File%iosystem%IO_comm, mpierr) | 1 ...... 1121 | call MPI_BCAST(value,clen ,MPI_REAL8 ,0,File%iosystem%IO_comm, mpierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). pionfatt_mod.fppized.f90:899:28: 899 | call MPI_BCAST(value,clen ,MPI_REAL8 ,0,File%iosystem%IO_comm, mpierr) | 1 ...... 1121 | call MPI_BCAST(value,clen ,MPI_REAL8 ,0,File%iosystem%IO_comm, mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfatt_mod.fppized.f90:912:22: 912 | call MPI_BCAST(value, clen, MPI_REAL8,File%iosystem%iomaster,File%iosystem%comp_comm, mpierr) | 1 ...... 1121 | call MPI_BCAST(value,clen ,MPI_REAL8 ,0,File%iosystem%IO_comm, mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pionfget_mod.F90 -o pionfget_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfget_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pionfget_mod.fppized.f90 pionfget_mod.fppized.f90:2722:22: 2722 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 1 ...... 2937 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) pionfget_mod.fppized.f90:2680:22: 2680 | call MPI_Bcast(ival,int(isize), MPI_REAL8 , File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 1 ...... 2937 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(8)/INTEGER(4)). pionfget_mod.fppized.f90:281:19: 281 | call MPI_Bcast(ival, ilen, MPI_INTEGER , File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 1 ...... 2937 | call MPI_Bcast(ival,int(isize), MPI_INTEGER , File%iosystem%IOMaster, File%iosystem%Comp_comm, mpierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pionfput_mod.F90 -o pionfput_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pionfput_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pionfput_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pio.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_nf_utils.F90 -o pio_nf_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_nf_utils.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pio_nf_utils.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pio_quicksort.F90 -o pio_quicksort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pio_quicksort.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pio_quicksort.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o pnetcdfversion.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 pnetcdfversion.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o topology.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 topology.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 dead_data_mod.F90 -o dead_data_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_kind_mod.F90 -o shr_kind_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_kind_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_kind_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dead_data_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp dead_data_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 dead_mct_mod.F90 -o dead_mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mct_mod.F90 -o mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_const_mod.F90 -o shr_const_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_const_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_const_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_log_mod.F90 -o shr_log_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_log_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_log_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_mpi_mod.F90 -o shr_mpi_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_mpi_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_mpi_mod.fppized.f90 shr_mpi_mod.fppized.f90:2139:24: 2139 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 1 ...... 2196 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:2142:21: 2142 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 1 ...... 2199 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:2082:24: 2082 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_INTEGER,reduce_type,comm,ierr) | 1 ...... 2196 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:2085:21: 2085 | call MPI_REDUCE(lvec,gvec,gsize,MPI_INTEGER,reduce_type,0,comm,ierr) | 1 ...... 2199 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:1911:24: 1911 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 1 ...... 2196 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1914:21: 1914 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 1 ...... 2199 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1569:24: 1569 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 1 ...... 2196 | call MPI_ALLREDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1572:21: 1572 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 1 ...... 2199 | call MPI_REDUCE(lvec,gvec,gsize,MPI_REAL8,reduce_type,0,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:1085:18: 1085 | call MPI_BCAST(arr,lsize,MPI_INTEGER,lpebcast,comm,ierr) | 1 ...... 1123 | call MPI_BCAST(arr,lsize,MPI_REAL8,lpebcast,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:901:18: 901 | call MPI_BCAST(vec,lsize,MPI_REAL8,lpebcast,comm,ierr) | 1 ...... 1123 | call MPI_BCAST(arr,lsize,MPI_REAL8,lpebcast,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:651:17: 651 | call MPI_RECV(lvec,lsize,MPI_REAL8,pid,tag,comm,status,ierr) | 1 ...... 721 | call MPI_RECV(array,lsize,MPI_REAL8,pid,tag,comm,status,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:616:17: 616 | call MPI_RECV(lvec,lsize,MPI_INTEGER,pid,tag,comm,status,ierr) | 1 ...... 721 | call MPI_RECV(array,lsize,MPI_REAL8,pid,tag,comm,status,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). shr_mpi_mod.fppized.f90:478:17: 478 | call MPI_SEND(lvec,lsize,MPI_REAL8,pid,tag,comm,ierr) | 1 ...... 546 | call MPI_SEND(array,lsize,MPI_REAL8,pid,tag,comm,ierr) | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) shr_mpi_mod.fppized.f90:444:17: 444 | call MPI_SEND(lvec,lsize,MPI_INTEGER,pid,tag,comm,ierr) | 1 ...... 546 | call MPI_SEND(array,lsize,MPI_REAL8,pid,tag,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_sys_mod.F90 -o shr_sys_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_sys_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_sys_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mct_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_flds_mod.F90 -o seq_flds_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_drydep_mod.F90 -o seq_drydep_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_file_mod.F90 -o shr_file_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_file_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_file_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_drydep_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_drydep_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_string_mod.F90 -o shr_string_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_cal_mod.F90 -o shr_cal_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Mod.F90 -o ESMF_Mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_AlarmClockMod.F90 -o ESMF_AlarmClockMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_AlarmMod.F90 -o ESMF_AlarmMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_BaseMod.F90 -o ESMF_BaseMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_BaseMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_BaseMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_TimeIntervalMod.F90 -o ESMF_TimeIntervalMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_BaseTimeMod.F90 -o ESMF_BaseTimeMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_BaseTimeMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_BaseTimeMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_CalendarMod.F90 -o ESMF_CalendarMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_CalendarMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_CalendarMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_FractionMod.F90 -o ESMF_FractionMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_FractionMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_FractionMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_TimeIntervalMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_TimeIntervalMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_TimeMod.F90 -o ESMF_TimeMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_TimeMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_TimeMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_AlarmMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_AlarmMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_ClockMod.F90 -o ESMF_ClockMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_ClockMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_ClockMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_AlarmClockMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_AlarmClockMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ESMF_Stubs.F90 -o ESMF_Stubs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Stubs.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_Stubs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ESMF_Mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ESMF_Mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_cal_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_cal_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_timer_mod.F90 -o shr_timer_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_timer_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_timer_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_string_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_string_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_flds_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_flds_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dead_mct_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp dead_mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 dead_mod.F90 -o dead_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dead_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp dead_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o f_wrappers.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 f_wrappers.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GPTLget_memusage.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GPTLget_memusage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GPTLprint_memusage.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GPTLprint_memusage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o GPTLutil.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 GPTLutil.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 Meat.F90 -o Meat.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o Meat.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp Meat.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 perf_mod.F90 -o perf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 perf_utils.F90 -o perf_utils.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o perf_utils.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp perf_utils.fppized.f90 perf_utils.fppized.f90:661:18: 661 | call MPI_BCAST(vec,lsize,MPI_INTEGER,0,comm,ierr) | 1 ...... 693 | call MPI_BCAST(vec,lsize,MPI_LOGICAL,0,comm,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o perf_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp perf_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_cdata_mod.F90 -o seq_cdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_comm_mct.F90 -o seq_comm_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_comm_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_comm_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_infodata_mod.F90 -o seq_infodata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_io_mod.F90 -o seq_io_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_io_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_io_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_orb_mod.F90 -o shr_orb_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_orb_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_orb_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_infodata_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_infodata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_cdata_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_cdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_flds_indices.F90 -o seq_flds_indices.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_flds_indices.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_flds_indices.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_timemgr_mod.F90 -o seq_timemgr_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_timemgr_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_timemgr_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_dmodel_mod.F90 -o shr_dmodel_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_map_mod.F90 -o shr_map_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_map_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_map_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_ncread_mod.F90 -o shr_ncread_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_ncread_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_ncread_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_stream_mod.F90 -o shr_stream_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_stream_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_stream_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_dmodel_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_dmodel_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_flux_mod.F90 -o shr_flux_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_flux_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_flux_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o shr_jlcp.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 shr_jlcp.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_mct_mod.F90 -o shr_mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_pcdf_mod.F90 -o shr_pcdf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_pcdf_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_pcdf_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_mct_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_mct_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_mem_mod.F90 -o shr_mem_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_mem_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_mem_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_msg_mod.F90 -o shr_msg_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_msg_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_msg_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_scam_mod.F90 -o shr_scam_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_strdata_mod.F90 -o shr_strdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_tInterp_mod.F90 -o shr_tInterp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_tInterp_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_tInterp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_strdata_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_strdata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_scam_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_scam_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o shr_vmath_fwrap.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 shr_vmath_fwrap.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 shr_vmath_mod.F90 -o shr_vmath_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shr_vmath_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp shr_vmath_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o threadutil.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 threadutil.c /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 wrf_error_fatal.F90 -o wrf_error_fatal.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_error_fatal.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp wrf_error_fatal.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 wrf_message.F90 -o wrf_message.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrf_message.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp wrf_message.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 atm_comp_mct.F90 -o atm_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 datm_comp_mod.F90 -o datm_comp_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 datm_shr_mod.F90 -o datm_shr_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o datm_shr_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp datm_shr_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o datm_comp_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp datm_comp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o atm_comp_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp atm_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 dlnd_comp_mod.F90 -o dlnd_comp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dlnd_comp_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp dlnd_comp_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 lnd_comp_mct.F90 -o lnd_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lnd_comp_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp lnd_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 dice_comp_mod.F90 -o dice_comp_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dice_comp_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp dice_comp_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ice_comp_mct.F90 -o ice_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ice_comp_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ice_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_BlocksMod.F90 -o POP_BlocksMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_DomainSizeMod.F90 -o POP_DomainSizeMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_KindsMod.F90 -o POP_KindsMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_KindsMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_KindsMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_DomainSizeMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_DomainSizeMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_ErrorMod.F90 -o POP_ErrorMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_CommMod.F90 -o POP_CommMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ocn_communicator.F90 -o ocn_communicator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocn_communicator.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ocn_communicator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_CommMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_CommMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_IOUnitsMod.F90 -o POP_IOUnitsMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_IOUnitsMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_IOUnitsMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io_types.F90 -o io_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 broadcast.F90 -o broadcast.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 communicate.F90 -o communicate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 kinds_mod.F90 -o kinds_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o kinds_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp kinds_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o communicate.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp communicate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o broadcast.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp broadcast.fppized.f90 broadcast.fppized.f90:940:18: 940 | call MPI_BCAST(array, nelements, MPI_REAL, root_pe, MPI_COMM_OCN, ierr) | 1 ...... 1058 | call MPI_BCAST(array_int, nelements, MPI_INTEGER, root_pe, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). broadcast.fppized.f90:261:18: 261 | call MPI_BCAST(itmp, 1, MPI_INTEGER, root_pe, MPI_COMM_OCN, ierr) | 1 ...... 1058 | call MPI_BCAST(array_int, nelements, MPI_INTEGER, root_pe, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) broadcast.fppized.f90:204:18: 204 | call MPI_BCAST(scalar, 1, MPI_INTEGER, root_pe, MPI_COMM_OCN,ierr) | 1 ...... 1058 | call MPI_BCAST(array_int, nelements, MPI_INTEGER, root_pe, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 constants.F90 -o constants.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o constants.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp constants.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 exit_mod.F90 -o exit_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o exit_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp exit_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_types.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp io_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_ErrorMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_ErrorMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_BlocksMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_BlocksMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_BroadcastMod.F90 -o POP_BroadcastMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_BroadcastMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_BroadcastMod.fppized.f90 POP_BroadcastMod.fppized.f90:2169:18: 2169 | call MPI_BCAST(array, nelements, POP_mpiR4, srcTask, & | 1 ...... 2328 | call MPI_BCAST(tmpArray, nelements, MPI_INTEGER, srcTask, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). POP_BroadcastMod.fppized.f90:337:18: 337 | call MPI_BCAST(itmp, 1, MPI_INTEGER, srcTask, & | 1 ...... 2328 | call MPI_BCAST(tmpArray, nelements, MPI_INTEGER, srcTask, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) POP_BroadcastMod.fppized.f90:261:18: 261 | call MPI_BCAST(scalar, 1, MPI_INTEGER, srcTask, & | 1 ...... 2328 | call MPI_BCAST(tmpArray, nelements, MPI_INTEGER, srcTask, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (rank-1 and scalar) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_ConfigMod.F90 -o POP_ConfigMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_ConfigMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_ConfigMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_ConstantsMod.F90 -o POP_ConstantsMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_ConstantsMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_ConstantsMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_DistributionMod.F90 -o POP_DistributionMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 spacecurve_mod.F90 -o spacecurve_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o spacecurve_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp spacecurve_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_DistributionMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_DistributionMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_FieldMod.F90 -o POP_FieldMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_GridDimMod.F90 -o POP_GridDimMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_GridDimMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_GridDimMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_GridHorzMod.F90 -o POP_GridHorzMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_GridHorzMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_GridHorzMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_GridVertMod.F90 -o POP_GridVertMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_GridVertMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_GridVertMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_FieldMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_FieldMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_FinalMod.F90 -o POP_FinalMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 timers.F90 -o timers.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 domain.F90 -o domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_HaloMod.F90 -o POP_HaloMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_ReductionsMod.F90 -o POP_ReductionsMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_ReductionsMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_ReductionsMod.fppized.f90 POP_ReductionsMod.fppized.f90:4642:25: 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 ...... 4642 | call MPI_ALLREDUCE(localMinAddr, globalMinAddr, 2, & | 1 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) POP_ReductionsMod.fppized.f90:4472:25: 4472 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 1 ...... 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). POP_ReductionsMod.fppized.f90:4479:25: 4479 | call MPI_ALLREDUCE(localMinAddr, globalMinAddr, 2, & | 1 ...... 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) POP_ReductionsMod.fppized.f90:4316:25: 4316 | call MPI_ALLREDUCE(localMinAddr, globalMinAddr, 2, & | 1 ...... 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) POP_ReductionsMod.fppized.f90:4153:25: 4153 | call MPI_ALLREDUCE(localMaxAddr, globalMaxAddr, 2, & | 1 ...... 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) POP_ReductionsMod.fppized.f90:3990:25: 3990 | call MPI_ALLREDUCE(localMaxAddr, globalMaxAddr, 2, & | 1 ...... 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) POP_ReductionsMod.fppized.f90:3827:25: 3827 | call MPI_ALLREDUCE(localMaxAddr, globalMaxAddr, 2, & | 1 ...... 4635 | call MPI_ALLREDUCE(localMinval, minValue, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_HaloMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_HaloMod.fppized.f90 POP_HaloMod.fppized.f90:4496:21: 4496 | call MPI_IRECV(bufRecv(1:msgSize,nmsg), msgSize, POP_mpiR4, & | 1 ...... 4927 | call MPI_IRECV(bufRecv(1:msgSize,nmsg), msgSize, MPI_INTEGER, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). POP_HaloMod.fppized.f90:4529:21: 4529 | call MPI_ISEND(bufSend(1:msgSize,nmsg), msgSize, POP_mpiR4, & | 1 ...... 4960 | call MPI_ISEND(bufSend(1:msgSize,nmsg), msgSize, MPI_INTEGER, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 blocks.F90 -o blocks.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 domain_size.F90 -o domain_size.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o domain_size.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp domain_size.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o blocks.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp blocks.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 distribution.F90 -o distribution.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o distribution.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp distribution.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o domain.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp domain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 global_reductions.F90 -o global_reductions.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 registry.F90 -o registry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io_tools.F90 -o io_tools.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_tools.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp io_tools.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o registry.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp registry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o global_reductions.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp global_reductions.fppized.f90 global_reductions.fppized.f90:3115:28: 3115 | call MPI_ALLGATHER(local_val , 3, mpi_real, & | 1 ...... 3219 | call MPI_ALLGATHER(local_val , 3, mpi_integer, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). global_reductions.fppized.f90:2884:22: 2884 | call MPI_ALLREDUCE(local_scalar, global_minval_scalar_real, 1, & | 1 ...... 2913 | call MPI_ALLREDUCE(local_scalar, global_minval_scalar_int, 1, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). global_reductions.fppized.f90:1771:23: 1771 | call MPI_ALLREDUCE(local_block_sum, global_block_sum, nblocks_tot, & | 1 ...... 2913 | call MPI_ALLREDUCE(local_scalar, global_minval_scalar_int, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) global_reductions.fppized.f90:994:23: 994 | call MPI_ALLREDUCE(local_block_sum, global_block_sum, nblocks_tot, & | 1 ...... 2913 | call MPI_ALLREDUCE(local_scalar, global_minval_scalar_int, 1, & | 2 Warning: Rank mismatch between actual argument at (1) and actual argument at (2) (scalar and rank-1) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io.F90 -o io.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io_binary.F90 -o io_binary.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 gather_scatter.F90 -o gather_scatter.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gather_scatter.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp gather_scatter.fppized.f90 gather_scatter.fppized.f90:2264:22: 2264 | call MPI_IRECV(DST_ARRAY(1,1,dst_blck), nx_block*ny_block, & | 1 ...... 2418 | call MPI_IRECV(DST_ARRAY(1,1,dst_blck), nx_block*ny_block, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). gather_scatter.fppized.f90:2286:22: 2286 | call MPI_ISEND(SRC_ARRAY(1,1,src_blck), nx_block*ny_block, & | 1 ...... 2440 | call MPI_ISEND(SRC_ARRAY(1,1,src_blck), nx_block*ny_block, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). gather_scatter.fppized.f90:1579:23: 1579 | call MPI_SEND(msg_buffer, nx_block*ny_block, & | 1 ...... 1895 | call MPI_SEND(msg_buffer, nx_block*ny_block, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/LOGICAL(4)). gather_scatter.fppized.f90:526:23: 526 | call MPI_RECV(msg_buffer, size(msg_buffer), & | 1 ...... 691 | call MPI_RECV(msg_buffer, size(msg_buffer), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_binary.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp io_binary.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io_netcdf.F90 -o io_netcdf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io_pio.F90 -o io_pio.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_pio.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp io_pio.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_netcdf.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp io_netcdf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp io.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o timers.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp timers.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_FinalMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_FinalMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_InitMod.F90 -o POP_InitMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 initial.F90 -o initial.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_SolversMod.F90 -o POP_SolversMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_RedistributeMod.F90 -o POP_RedistributeMod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_RedistributeMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_RedistributeMod.fppized.f90 POP_RedistributeMod.fppized.f90:1947:24: 1947 | call MPI_IRECV(dstArray(1,1,dstIndex), & | 1 ...... 2128 | call MPI_IRECV(dstArray(1,1,dstIndex), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). POP_RedistributeMod.fppized.f90:1960:24: 1960 | call MPI_ISEND(srcArray(1,1,srcIndex), & | 1 ...... 2141 | call MPI_ISEND(srcArray(1,1,srcIndex), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). POP_RedistributeMod.fppized.f90:1322:26: 1322 | call MPI_SEND(msgBuffer, POP_nxBlock*POP_nyBlock, & | 1 ...... 1550 | call MPI_SEND(msgBuffer, POP_nxBlock*POP_nyBlock, & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). POP_RedistributeMod.fppized.f90:575:23: 575 | call MPI_RECV(msgBuffer, size(msgBuffer), & | 1 ...... 866 | call MPI_RECV(msgBuffer, size(msgBuffer), & | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 grid.F90 -o grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o grid.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_SolversMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_SolversMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 advection.F90 -o advection.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 diagnostics.F90 -o diagnostics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing.F90 -o forcing.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_ap.F90 -o forcing_ap.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_tools.F90 -o forcing_tools.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 time_management.F90 -o time_management.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o time_management.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp time_management.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_tools.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp forcing_tools.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_ap.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp forcing_ap.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_coupled.F90 -o forcing_coupled.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_fields.F90 -o forcing_fields.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_fields.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp forcing_fields.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_sfwf.F90 -o forcing_sfwf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_shf.F90 -o forcing_shf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 prognostic.F90 -o prognostic.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prognostic.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp prognostic.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_shf.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp forcing_shf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ice.F90 -o ice.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 passive_tracers.F90 -o passive_tracers.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 cfc_mod.F90 -o cfc_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 passive_tracer_tools.F90 -o passive_tracer_tools.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o passive_tracer_tools.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp passive_tracer_tools.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 tavg.F90 -o tavg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 diag_bsf.F90 -o diag_bsf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diag_bsf.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp diag_bsf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 diags_on_lat_aux_grid.F90 -o diags_on_lat_aux_grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diags_on_lat_aux_grid.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp diags_on_lat_aux_grid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 io_ccsm.F90 -o io_ccsm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o io_ccsm.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp io_ccsm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 operators.F90 -o operators.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o operators.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp operators.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tavg.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp tavg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfc_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cfc_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ecosys_mod.F90 -o ecosys_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 POP_MCT_vars_mod.F90 -o POP_MCT_vars_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_MCT_vars_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_MCT_vars_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 co2calc.F90 -o co2calc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 state_mod.F90 -o state_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp state_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o co2calc.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp co2calc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ecosys_parms.F90 -o ecosys_parms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ecosys_parms.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ecosys_parms.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 named_field_mod.F90 -o named_field_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o named_field_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp named_field_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ecosys_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ecosys_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 iage_mod.F90 -o iage_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o iage_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp iage_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o passive_tracers.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp passive_tracers.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ice.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ice.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_sfwf.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp forcing_sfwf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_ws.F90 -o forcing_ws.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_ws.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp forcing_ws.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ms_balance.F90 -o ms_balance.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ms_balance.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ms_balance.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_coupled.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp forcing_coupled.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_pt_interior.F90 -o forcing_pt_interior.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_pt_interior.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp forcing_pt_interior.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 forcing_s_interior.F90 -o forcing_s_interior.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing_s_interior.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp forcing_s_interior.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 movie.F90 -o movie.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o movie.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp movie.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 sw_absorption.F90 -o sw_absorption.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sw_absorption.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp sw_absorption.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp forcing.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 vmix_kpp.F90 -o vmix_kpp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 tidal_mixing.F90 -o tidal_mixing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tidal_mixing.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp tidal_mixing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vmix_kpp.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp vmix_kpp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diagnostics.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp diagnostics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 overflows.F90 -o overflows.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o overflows.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp overflows.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o advection.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp advection.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 baroclinic.F90 -o baroclinic.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 horizontal_mix.F90 -o horizontal_mix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 hmix_aniso.F90 -o hmix_aniso.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hmix_aniso.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp hmix_aniso.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 hmix_del2.F90 -o hmix_del2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 topostress.F90 -o topostress.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o topostress.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp topostress.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hmix_del2.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp hmix_del2.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 hmix_del4.F90 -o hmix_del4.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hmix_del4.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp hmix_del4.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 hmix_gm.F90 -o hmix_gm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 hmix_gm_submeso_share.F90 -o hmix_gm_submeso_share.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hmix_gm_submeso_share.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp hmix_gm_submeso_share.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 vertical_mix.F90 -o vertical_mix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 vmix_const.F90 -o vmix_const.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vmix_const.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp vmix_const.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 vmix_rich.F90 -o vmix_rich.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vmix_rich.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp vmix_rich.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vertical_mix.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp vertical_mix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hmix_gm.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp hmix_gm.fppized.f90 hmix_gm.fppized.f90:3742:73: 3676 | do k=1,km-1 | 2 ...... 3742 | KAPPA_THIC(:,:,ktp,k+2,bid) * SLX(:,:,kk,ktp,k+2,bid) * dz(k+2)) | 1 Warning: Array reference at (1) out of bounds (61 > 60) in loop beginning at (2) hmix_gm.fppized.f90:3746:73: 3676 | do k=1,km-1 | 2 ...... 3746 | KAPPA_THIC(:,:,ktp,k+2,bid) * SLY(:,:,kk,ktp,k+2,bid) * dz(k+2)) | 1 Warning: Array reference at (1) out of bounds (61 > 60) in loop beginning at (2) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mix_submeso.F90 -o mix_submeso.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mix_submeso.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mix_submeso.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o horizontal_mix.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp horizontal_mix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 pressure_grad.F90 -o pressure_grad.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pressure_grad.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp pressure_grad.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o baroclinic.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp baroclinic.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 barotropic.F90 -o barotropic.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o barotropic.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp barotropic.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 budget_diagnostics.F90 -o budget_diagnostics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 qflux_mod.F90 -o qflux_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o qflux_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp qflux_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o budget_diagnostics.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp budget_diagnostics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 output.F90 -o output.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 history.F90 -o history.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o history.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp history.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 restart.F90 -o restart.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o restart.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp restart.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o output.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp output.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 step_mod.F90 -o step_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 surface_hgt.F90 -o surface_hgt.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o surface_hgt.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp surface_hgt.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o step_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp step_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o initial.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp initial.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o POP_InitMod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp POP_InitMod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 cfc11_mod.F90 -o cfc11_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cfc11_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp cfc11_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 check_mod.F90 -o check_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o check_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp check_mod.fppized.f90 check_mod.fppized.f90:158:23: 158 | call MPI_Allreduce(lsum,gsum,1,MPI_REAL,MPI_SUM,MPI_COMM_WORLD,ierr) | 1 ...... 185 | call MPI_Allreduce(lsum,gsum,1,MPI_INTEGER,MPI_SUM,MPI_COMM_WORLD,ierr) | 2 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (REAL(4)/INTEGER(4)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 current_meters.F90 -o current_meters.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o current_meters.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp current_meters.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 drifters.F90 -o drifters.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o drifters.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp drifters.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 hydro_sections.F90 -o hydro_sections.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hydro_sections.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp hydro_sections.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 msg_mod.F90 -o msg_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o msg_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp msg_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ocn_comp_mct.F90 -o ocn_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocn_comp_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ocn_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 tracer_types.F90 -o tracer_types.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tracer_types.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp tracer_types.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 glc_comp_mct.F90 -o glc_comp_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o glc_comp_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp glc_comp_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 ccsm_driver.F90 -o ccsm_driver.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_atmatm_mct.F90 -o map_atmatm_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_rearr_mod.F90 -o seq_rearr_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_diag_mct.F90 -o seq_diag_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_diag_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_diag_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_rearr_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_rearr_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmatm_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp map_atmatm_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_atmice_mct.F90 -o map_atmice_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmice_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp map_atmice_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_atmlnd_mct.F90 -o map_atmlnd_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmlnd_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp map_atmlnd_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_atmocn_mct.F90 -o map_atmocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_atmocn_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp map_atmocn_mct.fppized.f90 map_atmocn_mct.fppized.f90:962:24: 842 | call MPI_ALLREDUCE(rarray,ilat1,num,MPI_REAL8,MPI_SUM,mpicom,rcode) | 2 ...... 962 | call MPI_ALLREDUCE(cntfound,cntf_tot,1,MPI_INTEGER,MPI_SUM,mpicom,rcode) | 1 Warning: Type mismatch between actual argument at (1) and actual argument at (2) (INTEGER(4)/REAL(8)). /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_glcglc_mct.F90 -o map_glcglc_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_glcglc_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp map_glcglc_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_iceice_mct.F90 -o map_iceice_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_iceice_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp map_iceice_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_iceocn_mct.F90 -o map_iceocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_iceocn_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp map_iceocn_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_lndlnd_mct.F90 -o map_lndlnd_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_lndlnd_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp map_lndlnd_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_ocnocn_mct.F90 -o map_ocnocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_ocnocn_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp map_ocnocn_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_rofocn_mct.F90 -o map_rofocn_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_rofocn_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp map_rofocn_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_rofrof_mct.F90 -o map_rofrof_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_rofrof_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp map_rofrof_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_snoglc_mct.F90 -o map_snoglc_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_snoglc_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp map_snoglc_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 map_snosno_mct.F90 -o map_snosno_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o map_snosno_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp map_snosno_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mrg_x2a_mct.F90 -o mrg_x2a_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2a_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mrg_x2a_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mrg_x2g_mct.F90 -o mrg_x2g_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2g_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mrg_x2g_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mrg_x2i_mct.F90 -o mrg_x2i_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2i_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mrg_x2i_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mrg_x2l_mct.F90 -o mrg_x2l_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2l_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mrg_x2l_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mrg_x2o_mct.F90 -o mrg_x2o_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2o_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mrg_x2o_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 mrg_x2s_mct.F90 -o mrg_x2s_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mrg_x2s_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp mrg_x2s_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_avdata_mod.F90 -o seq_avdata_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_avdata_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_avdata_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_domain_mct.F90 -o seq_domain_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_domain_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_domain_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_flux_mct.F90 -o seq_flux_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_flux_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_flux_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_frac_mct.F90 -o seq_frac_mct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_frac_mct.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_frac_mct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_hist_mod.F90 -o seq_hist_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_hist_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_hist_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 seq_rest_mod.F90 -o seq_rest_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o seq_rest_mod.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp seq_rest_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ccsm_driver.fppized.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp ccsm_driver.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp -DSPEC_OPENMP netcdf/attr.o netcdf/dim.o netcdf/error.o netcdf/fort-attio.o netcdf/fort-control.o netcdf/fort-dim.o netcdf/fort-genatt.o netcdf/fort-geninq.o netcdf/fort-genvar.o netcdf/fort-lib.o netcdf/fort-misc.o netcdf/fort-v2compat.o netcdf/fort-var1io.o netcdf/fort-varaio.o netcdf/fort-vario.o netcdf/fort-varmio.o netcdf/fort-varsio.o netcdf/libvers.o netcdf/nc.o netcdf/ncx.o netcdf/posixio.o netcdf/putget.o netcdf/string.o netcdf/v1hpg.o netcdf/v2i.o netcdf/var.o netcdf/netcdf.o netcdf/typeSizes.o fort.fppized.o mpi.o send.o recv.o collective.o req.o list.o handles.o comm.o group.o time.o pack.o m_IndexBin_char.fppized.o m_IndexBin_integer.fppized.o m_IndexBin_logical.fppized.o m_List.fppized.o m_MergeSorts.fppized.o m_Filename.fppized.o m_FcComms.fppized.o m_Permuter.fppized.o m_SortingTools.fppized.o m_String.fp pized.o m_StrTemplate.fppized.o m_chars.fppized.o m_die.fppized.o m_dropdead.fppized.o m_FileResolv.fppized.o m_flow.fppized.o m_inpak90.fppized.o m_ioutil.fppized.o m_mall.fppized.o m_mpif.fppized.o m_mpif90.fppized.o m_mpout.fppized.o m_rankMerge.fppized.o m_realkinds.fppized.o m_stdio.fppized.o m_TraceBack.fppized.o m_zeit.fppized.o get_zeits.o m_MCTWorld.fppized.o m_AttrVect.fppized.o m_GlobalMap.fppized.o m_GlobalSegMap.fppized.o m_GlobalSegMapComms.fppized.o m_Accumulator.fppized.o m_SparseMatrix.fppized.o m_Navigator.fppized.o m_AttrVectComms.fppized.o m_AttrVectReduce.fppized.o m_AccumulatorComms.fppized.o m_GeneralGrid.fppized.o m_GeneralGridComms.fppized.o m_SpatialIntegral.fppized.o m_SpatialIntegralV.fppized.o m_MatAttrVectMul.fppized.o m_Merge.fppized.o m_GlobalToLocal.fppized.o m_ExchangeMaps.fppized.o m_ConvertMaps.fppized.o m_SparseMatrixDecomp.fppized.o m_SparseMatrixToMaps.fppized.o m_SparseMatrixComms.fppized.o m_SparseMatrixPlus.fppized.o m_Router.fppized.o m_Rearranger.fppized.o m_Tra nsfer.fppized.o alloc_mod.fppized.o box_rearrange.fppized.o iompi_mod.fppized.o ionf_mod.fppized.o mct_rearrange.fppized.o nf_mod.fppized.o piodarray.fppized.o pio.fppized.o pio_kinds.fppized.o piolib_mod.fppized.o pio_mpi_utils.fppized.o pionfatt_mod.fppized.o pionfget_mod.fppized.o pionfput_mod.fppized.o pionfread_mod.fppized.o pio_nf_utils.fppized.o pionfwrite_mod.fppized.o pio_quicksort.fppized.o pio_spmd_utils.fppized.o pio_support.fppized.o pio_types.fppized.o pio_utils.fppized.o pnetcdfversion.o rearrange.fppized.o topology.o dead_data_mod.fppized.o dead_mct_mod.fppized.o dead_mod.fppized.o ESMF_AlarmClockMod.fppized.o ESMF_AlarmMod.fppized.o ESMF_BaseMod.fppized.o ESMF_BaseTimeMod.fppized.o ESMF_CalendarMod.fppized.o ESMF_ClockMod.fppized.o ESMF_FractionMod.fppized.o ESMF_Mod.fppized.o ESMF_Stubs.fppized.o ESMF_TimeIntervalMod.fppized.o ESMF_TimeMod.fppized.o f_wrappers.o GPTLget_memusage.o GPTLprint_memusage.o GPTLutil.o mct_mod.fppized.o Meat.fppized.o perf_mod.fppized.o perf_utils.fppized.o seq _cdata_mod.fppized.o seq_comm_mct.fppized.o seq_drydep_mod.fppized.o seq_flds_indices.fppized.o seq_flds_mod.fppized.o seq_infodata_mod.fppized.o seq_io_mod.fppized.o seq_timemgr_mod.fppized.o shr_cal_mod.fppized.o shr_const_mod.fppized.o shr_dmodel_mod.fppized.o shr_file_mod.fppized.o shr_flux_mod.fppized.o shr_jlcp.o shr_kind_mod.fppized.o shr_log_mod.fppized.o shr_map_mod.fppized.o shr_mct_mod.fppized.o shr_mem_mod.fppized.o shr_mpi_mod.fppized.o shr_msg_mod.fppized.o shr_ncread_mod.fppized.o shr_orb_mod.fppized.o shr_pcdf_mod.fppized.o shr_scam_mod.fppized.o shr_strdata_mod.fppized.o shr_stream_mod.fppized.o shr_string_mod.fppized.o shr_sys_mod.fppized.o shr_timer_mod.fppized.o shr_tInterp_mod.fppized.o shr_vmath_fwrap.o shr_vmath_mod.fppized.o threadutil.o wrf_error_fatal.fppized.o wrf_message.fppized.o atm_comp_mct.fppized.o datm_comp_mod.fppized.o datm_shr_mod.fppized.o dlnd_comp_mod.fppized.o lnd_comp_mct.fppized.o dice_comp_mod.fppized.o ice_comp_mct.fppized.o POP_BlocksMod.fppized.o POP_Broadcas tMod.fppized.o POP_CommMod.fppized.o POP_ConfigMod.fppized.o POP_ConstantsMod.fppized.o POP_DistributionMod.fppized.o POP_DomainSizeMod.fppized.o POP_ErrorMod.fppized.o POP_FieldMod.fppized.o POP_FinalMod.fppized.o POP_GridDimMod.fppized.o POP_GridHorzMod.fppized.o POP_GridVertMod.fppized.o POP_HaloMod.fppized.o POP_IOUnitsMod.fppized.o POP_InitMod.fppized.o POP_KindsMod.fppized.o POP_MCT_vars_mod.fppized.o POP_RedistributeMod.fppized.o POP_ReductionsMod.fppized.o POP_SolversMod.fppized.o advection.fppized.o baroclinic.fppized.o barotropic.fppized.o blocks.fppized.o broadcast.fppized.o budget_diagnostics.fppized.o cfc11_mod.fppized.o cfc_mod.fppized.o check_mod.fppized.o co2calc.fppized.o communicate.fppized.o constants.fppized.o current_meters.fppized.o diag_bsf.fppized.o diagnostics.fppized.o diags_on_lat_aux_grid.fppized.o distribution.fppized.o domain.fppized.o domain_size.fppized.o drifters.fppized.o ecosys_mod.fppized.o ecosys_parms.fppized.o exit_mod.fppized.o forcing.fppized.o forcing_ap.fppized.o forcing_coupled.fppized.o forcing_fields.fppized.o forcing_pt_interior.fppized.o forcing_s_interior.fppized.o forcing_sfwf.fppized.o forcing_shf.fppized.o forcing_tools.fppized.o forcing_ws.fppized.o gather_scatter.fppized.o global_reductions.fppized.o grid.fppized.o history.fppized.o hmix_aniso.fppized.o hmix_del2.fppized.o hmix_del4.fppized.o hmix_gm.fppized.o hmix_gm_submeso_share.fppized.o horizontal_mix.fppized.o hydro_sections.fppized.o iage_mod.fppized.o ice.fppized.o initial.fppized.o io.fppized.o io_binary.fppized.o io_ccsm.fppized.o io_netcdf.fppized.o io_pio.fppized.o io_tools.fppized.o io_types.fppized.o kinds_mod.fppized.o mix_submeso.fppized.o movie.fppized.o ms_balance.fppized.o msg_mod.fppized.o named_field_mod.fppized.o ocn_communicator.fppized.o ocn_comp_mct.fppized.o operators.fppized.o output.fppized.o overflows.fppized.o passive_tracer_tools.fppized.o passive_tracers.fppized.o pressure_grad.fppized.o prognostic.fppized.o qflux_mod.fppized.o registry.fppized.o restart.fppized.o spacec urve_mod.fppized.o state_mod.fppized.o step_mod.fppized.o surface_hgt.fppized.o sw_absorption.fppized.o tavg.fppized.o tidal_mixing.fppized.o time_management.fppized.o timers.fppized.o topostress.fppized.o tracer_types.fppized.o vertical_mix.fppized.o vmix_const.fppized.o vmix_kpp.fppized.o vmix_rich.fppized.o glc_comp_mct.fppized.o ccsm_driver.fppized.o map_atmatm_mct.fppized.o map_atmice_mct.fppized.o map_atmlnd_mct.fppized.o map_atmocn_mct.fppized.o map_glcglc_mct.fppized.o map_iceice_mct.fppized.o map_iceocn_mct.fppized.o map_lndlnd_mct.fppized.o map_ocnocn_mct.fppized.o map_rofocn_mct.fppized.o map_rofrof_mct.fppized.o map_snoglc_mct.fppized.o map_snosno_mct.fppized.o mrg_x2a_mct.fppized.o mrg_x2g_mct.fppized.o mrg_x2i_mct.fppized.o mrg_x2l_mct.fppized.o mrg_x2o_mct.fppized.o mrg_x2s_mct.fppized.o seq_avdata_mod.fppized.o seq_diag_mct.fppized.o seq_domain_mct.fppized.o seq_flux_mct.fppized.o seq_frac_mct.fppized.o seq_hist_mod.fppized.o seq_rearr_mod.fppized.o seq_rest_mod.fppized.o -o speed_pop2 perf_mod.fppized.f90:1618:77: warning: type of ‘gptlevent_name_to_code’ does not match original declaration [-Wlto-type-mismatch] 1618 | ierr = gptlevent_name_to_code(trim(papi_ctr1_str), papi_ctr1_id) | ^ f_wrappers.c:491:5: note: type mismatch in parameter 3 491 | int gptlevent_name_to_code (const char *str, int *code, int nc) | ^ f_wrappers.c:491:5: note: type ‘int’ should match type ‘long int’ f_wrappers.c:491:5: note: ‘gptlevent_name_to_code_’ was previously declared here perf_mod.fppized.f90:1150:34: warning: type of ‘gptlstop’ does not match original declaration [-Wlto-type-mismatch] 1150 | ierr = GPTLstop(event) | ^ f_wrappers.c:288:5: note: type mismatch in parameter 2 288 | int gptlstop (char *name, int nc1) | ^ f_wrappers.c:288:5: note: type ‘int’ should match type ‘long int’ f_wrappers.c:288:5: note: ‘gptlstop_’ was previously declared here perf_mod.fppized.f90:1140:35: warning: type of ‘gptlstart’ does not match original declaration [-Wlto-type-mismatch] 1140 | ierr = GPTLstart(event) | ^ f_wrappers.c:273:5: note: type mismatch in parameter 2 273 | int gptlstart (char *name, int nc1) | ^ f_wrappers.c:273:5: note: type ‘int’ should match type ‘long int’ f_wrappers.c:273:5: note: ‘gptlstart_’ was previously declared here perf_mod.fppized.f90:1299:63: warning: type of ‘gptlpr_summary_file’ does not match original declaration [-Wlto-type-mismatch] 1299 | ierr = GPTLpr_summary_file(mpicom2, 0, trim(fname)) | ^ f_wrappers.c:198:5: note: type mismatch in parameter 4 198 | int gptlpr_summary_file (int *fcomm, int *mode, char *file, int nc1) | ^ f_wrappers.c:198:5: note: type ‘int’ should match type ‘long int’ f_wrappers.c:198:5: note: ‘gptlpr_summary_file_’ was previously declared here perf_mod.fppized.f90:1313:46: warning: type of ‘gptlpr_file’ does not match original declaration [-Wlto-type-mismatch] 1313 | ierr = GPTLpr_file(0, trim(fname)) | ^ f_wrappers.c:158:5: note: type mismatch in parameter 3 158 | int gptlpr_file (int *mode, char *file, int nc1) | ^ f_wrappers.c:158:5: note: type ‘int’ should match type ‘long int’ f_wrappers.c:158:5: note: ‘gptlpr_file_’ was previously declared here m_inpak90.fppized.f90:362:68: warning: type of ‘mpi_bcast’ does not match original declaration [-Wlto-type-mismatch] 362 | call MPI_Bcast(i90_now%buffer,NBUF_MAX,MP_CHARACTER,root,comm,ier) | ^ collective.c:32:1: note: type mismatch in parameter 7 32 | FORT_NAME( mpi_bcast , MPI_BCAST )(void *buffer, int *count, int *datatype, | ^ collective.c:32:1: note: type ‘void’ should match type ‘long int’ collective.c:32:1: note: ‘mpi_bcast_’ was previously declared here pio_support.fppized.f90:261:67: warning: type of ‘mpi_send’ does not match original declaration [-Wlto-type-mismatch] 261 | call MPI_SEND(sdof,1,MPI_INTEGER,n,n,comm,status,ierr) | ^ send.c:101:1: note: type mismatch in parameter 8 101 | FORT_NAME(mpi_send, MPI_SEND) ( void *buf, int *count, int *datatype, | ^ pio_support.fppized.f90:169:78: warning: type of ‘mpi_send’ does not match original declaration [-Wlto-type-mismatch] 169 | call MPI_SEND(dof,sdof,MPI_INTEGER,masterproc,n,comm,status,ierr) | ^ send.c:101:1: note: type mismatch in parameter 8 101 | FORT_NAME(mpi_send, MPI_SEND) ( void *buf, int *count, int *datatype, | ^ POP_RedistributeMod.fppized.f90:1324:60: warning: type of ‘mpi_send’ does not match original declaration [-Wlto-type-mismatch] 1324 | POP_communicator, mpiStatus, ierr) | ^ send.c:101:1: note: type mismatch in parameter 8 101 | FORT_NAME(mpi_send, MPI_SEND) ( void *buf, int *count, int *datatype, | ^ POP_RedistributeMod.fppized.f90:1096:60: warning: type of ‘mpi_send’ does not match original declaration [-Wlto-type-mismatch] 1096 | POP_communicator, mpiStatus, ierr) | ^ send.c:101:1: note: type mismatch in parameter 8 101 | FORT_NAME(mpi_send, MPI_SEND) ( void *buf, int *count, int *datatype, | ^ gather_scatter.fppized.f90:1897:50: warning: type of ‘mpi_send’ does not match original declaration [-Wlto-type-mismatch] 1897 | MPI_COMM_OCN, status, ierr) | ^ send.c:101:1: note: type mismatch in parameter 8 101 | FORT_NAME(mpi_send, MPI_SEND) ( void *buf, int *count, int *datatype, | ^ send.c:101:1: note: ‘mpi_send_’ was previously declared here m_dropdead.fppized.f90:139:38: warning: type of ‘mpi_initialized’ does not match original declaration [-Wlto-type-mismatch] 139 | call MP_initialized(initialized,ier) | ^ mpi.c:333:1: note: ‘mpi_initialized_’ was previously declared here 333 | FORT_NAME( mpi_initialized , MPI_INITIALIZED )(int *flag, int *ierror) | ^ mpi.c:333:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used m_mpif90.fppized.f90:674:42: warning: type of ‘mpi_error_string’ does not match original declaration [-Wlto-type-mismatch] 674 | call MP_error_string(ierror,estr,ln,ier) | ^ mpi.c:271:1: note: type mismatch in parameter 5 271 | FORT_NAME( mpi_error_string , MPI_ERROR_STRING) | ^ mpi.c:271:1: note: type ‘void’ should match type ‘long int’ perf_utils.fppized.f90:577:50: warning: type of ‘mpi_error_string’ does not match original declaration [-Wlto-type-mismatch] 577 | call MPI_ERROR_STRING(rcode,lstring,len,ierr) | ^ mpi.c:271:1: note: type mismatch in parameter 5 271 | FORT_NAME( mpi_error_string , MPI_ERROR_STRING) | ^ mpi.c:271:1: note: type ‘void’ should match type ‘long int’ mpi.c:271:1: note: ‘mpi_error_string_’ was previously declared here netcdf_text_variables.f90:487:99: warning: type of ‘nf_get_vars_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-varsio.c:17:1: note: type mismatch in parameter 7 17 | FCALLSCFUN6(NF_INT, nc_get_vars_text, NF_GET_VARS_TEXT, nf_get_vars_text, | ^ netcdf/fort-varsio.c:17:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varsio.c:17:1: note: ‘nf_get_vars_text_’ was previously declared here netcdf/fort-varsio.c:17:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_text_variables.f90:263:99: warning: type of ‘nf_put_vars_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-varsio.c:10:1: note: type mismatch in parameter 7 10 | FCALLSCFUN6(NF_INT, nc_put_vars_text, NF_PUT_VARS_TEXT, nf_put_vars_text, | ^ netcdf/fort-varsio.c:10:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varsio.c:10:1: note: ‘nf_put_vars_text_’ was previously declared here netcdf/fort-varsio.c:10:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_text_variables.f90:484:109: warning: type of ‘nf_get_varm_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-varmio.c:17:1: note: type mismatch in parameter 8 17 | FCALLSCFUN7(NF_INT, nc_get_varm_text, NF_GET_VARM_TEXT, nf_get_varm_text, | ^ netcdf/fort-varmio.c:17:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varmio.c:17:1: note: ‘nf_get_varm_text_’ was previously declared here netcdf/fort-varmio.c:17:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_text_variables.f90:260:109: warning: type of ‘nf_put_varm_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-varmio.c:10:1: note: type mismatch in parameter 8 10 | FCALLSCFUN7(NF_INT, nc_put_varm_text, NF_PUT_VARM_TEXT, nf_put_varm_text, | ^ netcdf/fort-varmio.c:10:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-varmio.c:10:1: note: ‘nf_put_varm_text_’ was previously declared here netcdf/fort-varmio.c:10:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:12:38: warning: type of ‘nf_strerror’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-misc.c:14:1: note: type mismatch in parameter 2 14 | FCALLSCFUN1(STRING, (char*)nc_strerror, NF_STRERROR, nf_strerror, | ^ netcdf/fort-misc.c:14:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-misc.c:14:1: note: ‘nf_strerror_’ was previously declared here netcdf/fort-misc.c:14:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:5:39: warning: type of ‘nf_inq_libvers’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-misc.c:8:1: note: type mismatch in parameter 2 8 | FCALLSCFUN0(STRING, (char*)nc_inq_libvers, NF_INQ_LIBVERS, nf_inq_libvers) | ^ netcdf/fort-misc.c:8:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-misc.c:8:1: note: ‘nf_inq_libvers_’ was previously declared here netcdf/fort-misc.c:8:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_variables.f90:86:57: warning: type of ‘nf_rename_var’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genvar.c:65:1: note: type mismatch in parameter 4 65 | FCALLSCFUN3(NF_INT, nc_rename_var, NF_RENAME_VAR, nf_rename_var, | ^ netcdf/fort-genvar.c:65:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:65:1: note: ‘nf_rename_var_’ was previously declared here netcdf/fort-genvar.c:65:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_variables.f90:47:52: warning: type of ‘nf_inq_varid’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genvar.c:23:1: note: type mismatch in parameter 4 23 | FCALLSCFUN3(NF_INT, nc_inq_varid, NF_INQ_VARID, nf_inq_varid, | ^ netcdf/fort-genvar.c:23:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:23:1: note: ‘nf_inq_varid_’ was previously declared here netcdf/fort-genvar.c:23:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_variables.f90:65:82: warning: type of ‘nf_inq_var’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genvar.c:16:1: note: type mismatch in parameter 8 16 | FCALLSCFUN7(NF_INT, nc_inq_var, NF_INQ_VAR, nf_inq_var, | ^ netcdf/fort-genvar.c:16:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:16:1: note: ‘nf_inq_var_’ was previously declared here netcdf/fort-genvar.c:16:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_variables.f90:38:86: warning: type of ‘nf_def_var’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genvar.c:9:1: note: type mismatch in parameter 7 9 | FCALLSCFUN6(NF_INT, nc_def_var, NF_DEF_VAR, nf_def_var, | ^ netcdf/fort-genvar.c:9:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genvar.c:9:1: note: ‘nf_def_var_’ was previously declared here netcdf/fort-genvar.c:9:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:27:48: warning: type of ‘nf_del_att’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:57:1: note: type mismatch in parameter 4 57 | FCALLSCFUN3(NF_INT, nc_del_att, NF_DEL_ATT, nf_del_att, | ^ netcdf/fort-genatt.c:57:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:57:1: note: ‘nf_del_att_’ was previously declared here netcdf/fort-genatt.c:57:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:19:66: warning: type of ‘nf_rename_att’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:50:1: note: type mismatch in parameter 5 50 | FCALLSCFUN4(NF_INT, nc_rename_att, NF_RENAME_ATT, nf_rename_att, | ^ netcdf/fort-genatt.c:50:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:50:1: note: ‘nf_rename_att_’ was previously declared here netcdf/fort-genatt.c:50:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:11:77: warning: type of ‘nf_copy_att’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:43:1: note: type mismatch in parameter 6 43 | FCALLSCFUN5(NF_INT, nc_copy_att, NF_COPY_ATT, nf_copy_att, | ^ netcdf/fort-genatt.c:43:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:43:1: note: ‘nf_copy_att_’ was previously declared here netcdf/fort-genatt.c:43:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:37:64: warning: type of ‘nf_inq_attname’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:36:1: note: type mismatch in parameter 5 36 | FCALLSCFUN4(NF_INT, nc_inq_attname, NF_INQ_ATTNAME, nf_inq_attname, | ^ netcdf/fort-genatt.c:36:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:36:1: note: ‘nf_inq_attname_’ was previously declared here netcdf/fort-genatt.c:36:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:50:70: warning: type of ‘nf_inq_attid’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:15:1: note: type mismatch in parameter 5 15 | FCALLSCFUN4(NF_INT, nc_inq_attid, NF_INQ_ATTID, nf_inq_attid, | ^ netcdf/fort-genatt.c:15:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:15:1: note: ‘nf_inq_attid_’ was previously declared here netcdf/fort-genatt.c:15:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:51:86: warning: type of ‘nf_inq_att’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-genatt.c:8:1: note: type mismatch in parameter 6 8 | FCALLSCFUN5(NF_INT, nc_inq_att, NF_INQ_ATT, nf_inq_att, | ^ netcdf/fort-genatt.c:8:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-genatt.c:8:1: note: ‘nf_inq_att_’ was previously declared here netcdf/fort-genatt.c:8:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_dims.f90:30:54: warning: type of ‘nf_rename_dim’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-dim.c:43:1: note: type mismatch in parameter 4 43 | FCALLSCFUN3(NF_INT, nc_rename_dim, NF_RENAME_DIM, nf_rename_dim, | ^ netcdf/fort-dim.c:43:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:43:1: note: ‘nf_rename_dim_’ was previously declared here netcdf/fort-dim.c:43:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_dims.f90:42:69: warning: type of ‘nf_inq_dim’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-dim.c:22:1: note: type mismatch in parameter 5 22 | FCALLSCFUN4(NF_INT, nc_inq_dim, NF_INQ_DIM, nf_inq_dim, | ^ netcdf/fort-dim.c:22:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:22:1: note: ‘nf_inq_dim_’ was previously declared here netcdf/fort-dim.c:22:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_dims.f90:21:52: warning: type of ‘nf_inq_dimid’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-dim.c:15:1: note: type mismatch in parameter 4 15 | FCALLSCFUN3(NF_INT, nc_inq_dimid, NF_INQ_DIMID, nf_inq_dimid, | ^ netcdf/fort-dim.c:15:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:15:1: note: ‘nf_inq_dimid_’ was previously declared here netcdf/fort-dim.c:15:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_dims.f90:12:53: warning: type of ‘nf_def_dim’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-dim.c:8:1: note: type mismatch in parameter 5 8 | FCALLSCFUN4(NF_INT, nc_def_dim, NF_DEF_DIM, nf_def_dim, | ^ netcdf/fort-dim.c:8:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-dim.c:8:1: note: ‘nf_def_dim_’ was previously declared here netcdf/fort-dim.c:8:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:85:70: warning: type of ‘nf__open_mp’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:105:1: note: type mismatch in parameter 6 105 | FCALLSCFUN5(NF_INT, nc__open_mp, NF__OPEN_MP, nf__open_mp, | ^ netcdf/fort-control.c:105:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:105:1: note: ‘nf__open_mp_’ was previously declared here netcdf/fort-control.c:105:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:62:86: warning: type of ‘nf__create_mp’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:99:1: note: type mismatch in parameter 7 99 | FCALLSCFUN6(NF_INT, nc__create_mp, NF__CREATE_MP, nf__create_mp, | ^ netcdf/fort-control.c:99:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:99:1: note: ‘nf__create_mp_’ was previously declared here netcdf/fort-control.c:99:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:150:33: warning: type of ‘nf_delete’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:93:1: note: type mismatch in parameter 2 93 | FCALLSCFUN1(NF_INT, nc_delete, NF_DELETE, nf_delete, | ^ netcdf/fort-control.c:93:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:93:1: note: ‘nf_delete_’ was previously declared here netcdf/fort-control.c:93:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:73:55: warning: type of ‘nf__open’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:28:1: note: type mismatch in parameter 5 28 | FCALLSCFUN4(NF_INT, nc__open, NF__OPEN, nf__open, | ^ netcdf/fort-control.c:28:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:28:1: note: ‘nf__open_’ was previously declared here netcdf/fort-control.c:28:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:75:43: warning: type of ‘nf_open’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:21:1: note: type mismatch in parameter 4 21 | FCALLSCFUN3(NF_INT, nc_open, NF_OPEN, nf_open, | ^ netcdf/fort-control.c:21:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:21:1: note: ‘nf_open_’ was previously declared here netcdf/fort-control.c:21:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:50:66: warning: type of ‘nf__create’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:14:1: note: type mismatch in parameter 6 14 | FCALLSCFUN5(NF_INT, nc__create, NF__CREATE, nf__create, | ^ netcdf/fort-control.c:14:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:14:1: note: ‘nf__create_’ was previously declared here netcdf/fort-control.c:14:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_file.f90:44:48: warning: type of ‘nf_create’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-control.c:7:1: note: type mismatch in parameter 4 7 | FCALLSCFUN3(NF_INT, nc_create, NF_CREATE, nf_create, | ^ netcdf/fort-control.c:7:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-control.c:7:1: note: ‘nf_create_’ was previously declared here netcdf/fort-control.c:7:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:326:82: warning: type of ‘nf_get_att_double’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:147:1: note: type mismatch in parameter 5 147 | FCALLSCFUN4(NF_INT, nc_get_att_double, NF_GET_ATT_DOUBLE, nf_get_att_double, | ^ netcdf/fort-attio.c:147:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:147:1: note: ‘nf_get_att_double_’ was previously declared here netcdf/fort-attio.c:147:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:307:97: warning: type of ‘nf_put_att_double’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:140:1: note: type mismatch in parameter 7 140 | FCALLSCFUN6(NF_INT, nc_put_att_double, NF_PUT_ATT_DOUBLE, nf_put_att_double, | ^ netcdf/fort-attio.c:140:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:140:1: note: ‘nf_put_att_double_’ was previously declared here netcdf/fort-attio.c:140:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:286:79: warning: type of ‘nf_get_att_real’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:132:1: note: type mismatch in parameter 5 132 | FCALLSCFUN4(NF_INT, nc_get_att_float, NF_GET_ATT_REAL, nf_get_att_real, | ^ netcdf/fort-attio.c:132:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:132:1: note: ‘nf_get_att_real_’ was previously declared here netcdf/fort-attio.c:132:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:267:94: warning: type of ‘nf_put_att_real’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:120:1: note: type mismatch in parameter 7 120 | FCALLSCFUN6(NF_INT, nc_put_att_float, NF_PUT_ATT_REAL, nf_put_att_real, | ^ netcdf/fort-attio.c:120:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:120:1: note: ‘nf_put_att_real_’ was previously declared here netcdf/fort-attio.c:120:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:244:85: warning: type of ‘nf_get_att_int’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:105:1: note: type mismatch in parameter 5 105 | FCALLSCFUN4(NF_INT, nc_get_att_int, NF_GET_ATT_INT, nf_get_att_int, | ^ netcdf/fort-attio.c:105:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:105:1: note: ‘nf_get_att_int_’ was previously declared here netcdf/fort-attio.c:105:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:221:91: warning: type of ‘nf_put_att_int’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:93:1: note: type mismatch in parameter 7 93 | FCALLSCFUN6(NF_INT, nc_put_att_int, NF_PUT_ATT_INT, nf_put_att_int, | ^ netcdf/fort-attio.c:93:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:93:1: note: ‘nf_put_att_int_’ was previously declared here netcdf/fort-attio.c:93:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:156:77: warning: type of ‘nf_get_att_int2’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:78:1: note: type mismatch in parameter 5 78 | FCALLSCFUN4(NF_INT, nc_get_att_short, NF_GET_ATT_INT2, nf_get_att_int2, | ^ netcdf/fort-attio.c:78:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:78:1: note: ‘nf_get_att_int2_’ was previously declared here netcdf/fort-attio.c:78:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:137:91: warning: type of ‘nf_put_att_int2’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:63:1: note: type mismatch in parameter 7 63 | FCALLSCFUN6(NF_INT, nc_put_att_short, NF_PUT_ATT_INT2, nf_put_att_int2, | ^ netcdf/fort-attio.c:63:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:63:1: note: ‘nf_put_att_int2_’ was previously declared here netcdf/fort-attio.c:63:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:116:77: warning: type of ‘nf_get_att_int1’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:45:1: note: type mismatch in parameter 5 45 | FCALLSCFUN4(NF_INT, nc_get_att_schar, NF_GET_ATT_INT1, nf_get_att_int1, | ^ netcdf/fort-attio.c:45:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:45:1: note: ‘nf_get_att_int1_’ was previously declared here netcdf/fort-attio.c:45:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:97:91: warning: type of ‘nf_put_att_int1’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:27:1: note: type mismatch in parameter 7 27 | FCALLSCFUN6(NF_INT, nc_put_att_schar, NF_PUT_ATT_INT1, nf_put_att_int1, | ^ netcdf/fort-attio.c:27:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:27:1: note: ‘nf_put_att_int1_’ was previously declared here netcdf/fort-attio.c:27:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:75:66: warning: type of ‘nf_get_att_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:19:1: note: type mismatch in parameter 5 19 | FCALLSCFUN4(NF_INT, nc_get_att_text, NF_GET_ATT_TEXT, nf_get_att_text, | ^ netcdf/fort-attio.c:19:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:19:1: note: ‘nf_get_att_text_’ was previously declared here netcdf/fort-attio.c:19:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used netcdf_attributes.f90:66:90: warning: type of ‘nf_put_att_text’ does not match original declaration [-Wlto-type-mismatch] netcdf/fort-attio.c:12:1: note: type mismatch in parameter 6 12 | FCALLSCFUN5(NF_INT, nc_put_att_text, NF_PUT_ATT_TEXT, nf_put_att_text, | ^ netcdf/fort-attio.c:12:1: note: type ‘unsigned int’ should match type ‘long int’ netcdf/fort-attio.c:12:1: note: ‘nf_put_att_text_’ was previously declared here netcdf/fort-attio.c:12:1: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used : warning: type of ‘__builtin_realloc’ does not match original declaration [-Wlto-type-mismatch] /usr/include/stdlib.h:549:14: note: type mismatch in parameter 1 549 | extern void *realloc (void *__ptr, size_t __size) | ^ /usr/include/stdlib.h:549:14: note: ‘realloc’ was previously declared here /usr/include/stdlib.h:549:14: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used lto-wrapper: warning: using serial compilation of 74 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information io_binary.fppized.f90: In function ‘define_field_binary’: io_binary.fppized.f90:872:59: warning: ‘memcpy’ writing 512 bytes into a region of size 511 overflows the destination [-Wstringop-overflow=] 872 | comp_line(2:cindx1) = trim(io_field%short_name) | ^ io_binary.fppized.f90:624:15: note: at offset 1 into destination object ‘comp_line’ of size 512 624 | comp_line, &! comparison string | ^ Stop make command: 2021-07-08 15:43:54 (1625773434.56709) Elapsed time for make command: 00:02:14 (134.053689002991) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:43:54 (1625773434.57047) FPP: "specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:43:54 (1625773434.73412) Elapsed time for options command: 00:00:00 (0.163647890090942) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:43:54 (1625773434.738) FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:43:54 (1625773434.91025) Elapsed time for compiler-version command: 00:00:00 (0.172246932983398) Compile for '628.pop2_s' ended at: 2021-07-08 15:43:54 (1625773434) Elapsed compile for '628.pop2_s': 00:02:14 (134) FPP: "specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagevalidate_638_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagick_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagevalidate_638_peak.x86_64-m64,/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/exe/imagick_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 638.imagick_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:43:56] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/build/build_peak_x86_64-m64.0000/Makefile.imagevalidate_638.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/build/build_peak_x86_64-m64.0000/Makefile.imagick_s.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/build/build_peak_x86_64-m64.0000/Makefile.imagevalidate_638.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=638 NAME=imagick_s SOURCES= image_validator/ImageValidator.c EXEBASE=imagevalidate_638 NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -I. CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = imagick_s basepeak = 0 benchdir = benchspec benchmark = 638.imagick_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = imagick_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = imagick_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 638 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = 0.01 reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/538.imagick_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = train_validate.out Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/build/build_peak_x86_64-m64.0000/Makefile.imagick_s.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=638 NAME=imagick_s SOURCES= coders/tga.c filters/analyze.c magick/accelerate.c \ magick/animate.c magick/annotate.c magick/artifact.c magick/attribute.c \ magick/blob.c magick/cache-view.c magick/cache.c magick/channel.c \ magick/cipher.c magick/client.c magick/coder.c magick/color.c \ magick/colormap.c magick/colorspace.c magick/compare.c \ magick/composite.c magick/compress.c magick/configure.c \ magick/constitute.c magick/decorate.c magick/delegate.c magick/display.c \ magick/distort.c magick/distribute-cache.c magick/draw.c magick/effect.c \ magick/enhance.c magick/exception.c magick/feature.c magick/fourier.c \ magick/fx.c magick/gem.c magick/geometry.c magick/hashmap.c \ magick/histogram.c magick/identify.c magick/image.c magick/layer.c \ magick/list.c magick/locale.c magick/log.c magick/magic.c \ magick/magick.c magick/matrix.c magick/memory.c magick/mime.c \ magick/module.c magick/monitor.c magick/montage.c magick/morphology.c \ magick/option.c magick/paint.c magick/pixel.c magick/policy.c \ magick/prepress.c magick/profile.c magick/property.c magick/quantize.c \ magick/quantum-export.c magick/quantum-import.c magick/quantum.c \ magick/random.c magick/registry.c magick/resample.c magick/resize.c \ magick/resource.c magick/segment.c magick/semaphore.c magick/shear.c \ magick/signature.c magick/splay-tree.c magick/static.c \ magick/statistic.c magick/stream.c magick/string.c magick/threshold.c \ magick/timer.c magick/token.c magick/transform.c magick/type.c \ magick/utility.c magick/version.c magick/xml-tree.c utilities/convert.c \ wand/convert.c wand/drawing-wand.c wand/magick-image.c \ wand/magick-wand.c wand/mogrify.c wand/pixel-wand.c \ wand/magick-property.c wand/pixel-iterator.c wand/wand.c \ magick/deprecate.c EXEBASE=imagick_s NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -I. CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = imagick_s basepeak = 0 benchdir = benchspec benchmark = 638.imagick_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = imagick_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = imagick_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 638 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = 0.01 reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/538.imagick_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = train_validate.out Compile for '638.imagick_s' started at: 2021-07-08 15:43:56 (1625773436) Issuing make.clean.imagevalidate_638 command 'specmake --output-sync --jobs=1 clean TARGET=imagevalidate_638' Start make.clean.imagevalidate_638 command: 2021-07-08 15:43:56 (1625773436.55619) Stop make.clean.imagevalidate_638 command: 2021-07-08 15:43:56 (1625773436.71808) Elapsed time for make.clean.imagevalidate_638 command: 00:00:00 (0.161888837814331) Issuing make.clean.imagick_s command 'specmake --output-sync --jobs=1 clean TARGET=imagick_s' Start make.clean.imagick_s command: 2021-07-08 15:43:56 (1625773436.72118) Stop make.clean.imagick_s command: 2021-07-08 15:43:56 (1625773436.8899) Elapsed time for make.clean.imagick_s command: 00:00:00 (0.168729066848755) Issuing make.imagevalidate_638 command 'specmake --output-sync --jobs=1 build TARGET=imagevalidate_638' Start make.imagevalidate_638 command: 2021-07-08 15:43:56 (1625773436.89346) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o image_validator/ImageValidator.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 image_validator/ImageValidator.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP image_validator/ImageValidator.o -lm -o imagevalidate_638 Stop make.imagevalidate_638 command: 2021-07-08 15:43:57 (1625773437.6447) Elapsed time for make.imagevalidate_638 command: 00:00:00 (0.751238822937012) Issuing options.imagevalidate_638 command 'specmake --output-sync --jobs=1 options TARGET=imagevalidate_638' Start options.imagevalidate_638 command: 2021-07-08 15:43:57 (1625773437.64782) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options.imagevalidate_638 command: 2021-07-08 15:43:57 (1625773437.80843) Elapsed time for options.imagevalidate_638 command: 00:00:00 (0.160604000091553) Issuing compiler-version.imagevalidate_638 command 'specmake --output-sync --jobs=1 compiler-version TARGET=imagevalidate_638' Start compiler-version.imagevalidate_638 command: 2021-07-08 15:43:57 (1625773437.81198) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.imagevalidate_638 command: 2021-07-08 15:43:57 (1625773437.99888) Elapsed time for compiler-version.imagevalidate_638 command: 00:00:00 (0.18690013885498) Issuing make.imagick_s command 'specmake --output-sync --jobs=1 build TARGET=imagick_s' Start make.imagick_s command: 2021-07-08 15:43:58 (1625773438.00252) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o coders/tga.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 coders/tga.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o filters/analyze.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 filters/analyze.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/accelerate.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/accelerate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/animate.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/animate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/annotate.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/annotate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/artifact.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/artifact.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/attribute.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/attribute.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/blob.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/blob.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/cache-view.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/cache-view.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/cache.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/cache.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/channel.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/channel.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/cipher.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/cipher.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/client.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/client.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/coder.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/coder.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/color.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/color.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/colormap.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/colormap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/colorspace.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/colorspace.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/compare.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/compare.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/composite.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/composite.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/compress.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/compress.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/configure.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/configure.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/constitute.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/constitute.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/decorate.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/decorate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/delegate.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/delegate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/display.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/display.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/distort.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/distort.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/distribute-cache.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/distribute-cache.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/draw.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/draw.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/effect.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/effect.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/enhance.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/enhance.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/exception.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/exception.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/feature.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/feature.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/fourier.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/fourier.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/fx.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/fx.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/gem.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/gem.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/geometry.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/geometry.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/hashmap.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/hashmap.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/histogram.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/histogram.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/identify.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/identify.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/image.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/image.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/layer.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/layer.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/list.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/list.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/locale.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/locale.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/log.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/log.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/magic.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/magic.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/magick.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/magick.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/matrix.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/matrix.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/memory.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/memory.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/mime.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/mime.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/module.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/module.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/monitor.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/monitor.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/montage.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/montage.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/morphology.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/morphology.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/option.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/option.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/paint.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/paint.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/pixel.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/pixel.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/policy.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/policy.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/prepress.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/prepress.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/profile.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/profile.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/property.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/property.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/quantize.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/quantize.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/quantum-export.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/quantum-export.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/quantum-import.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/quantum-import.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/quantum.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/quantum.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/random.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/random.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/registry.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/registry.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/resample.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/resample.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/resize.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/resize.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/resource.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/resource.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/segment.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/segment.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/semaphore.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/semaphore.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/shear.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/shear.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/signature.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/signature.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/splay-tree.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/splay-tree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/static.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/static.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/statistic.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/statistic.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/stream.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/stream.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/string.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/string.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/threshold.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/threshold.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/timer.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/timer.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/token.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/token.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/transform.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/transform.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/type.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/type.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/utility.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/utility.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/version.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/version.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/xml-tree.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/xml-tree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o utilities/convert.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 utilities/convert.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/convert.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/convert.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/drawing-wand.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/drawing-wand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/magick-image.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/magick-image.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/magick-wand.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/magick-wand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/mogrify.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/mogrify.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/pixel-wand.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/pixel-wand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/magick-property.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/magick-property.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/pixel-iterator.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/pixel-iterator.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o wand/wand.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 wand/wand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o magick/deprecate.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 magick/deprecate.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP coders/tga.o filters/analyze.o magick/accelerate.o magick/animate.o magick/annotate.o magick/artifact.o magick/attribute.o magick/blob.o magick/cache-view.o magick/cache.o magick/channel.o magick/cipher.o magick/client.o magick/coder.o magick/color.o magick/colormap.o magick/colorspace.o magick/compare.o magick/composite.o magick/compress.o magick/configure.o magick/constitute.o magick/decorate.o magick/delegate.o magick/display.o magick/distort.o magick/distribute-cache.o magick/draw.o magick/effect.o magick/enhance.o magick/exception.o magick/feature.o magick/fourier.o magick/fx.o magick/gem.o magick/geometry.o magick/hashmap.o magick/histogram.o magick/identify.o magick/image.o magick/layer.o magick/list.o magick/locale.o magick/log.o magick/magic.o magick/magick.o magick/matrix.o magick/memory.o magick/mime.o magick/module.o magick/monitor.o ma gick/montage.o magick/morphology.o magick/option.o magick/paint.o magick/pixel.o magick/policy.o magick/prepress.o magick/profile.o magick/property.o magick/quantize.o magick/quantum-export.o magick/quantum-import.o magick/quantum.o magick/random.o magick/registry.o magick/resample.o magick/resize.o magick/resource.o magick/segment.o magick/semaphore.o magick/shear.o magick/signature.o magick/splay-tree.o magick/static.o magick/statistic.o magick/stream.o magick/string.o magick/threshold.o magick/timer.o magick/token.o magick/transform.o magick/type.o magick/utility.o magick/version.o magick/xml-tree.o utilities/convert.o wand/convert.o wand/drawing-wand.o wand/magick-image.o wand/magick-wand.o wand/mogrify.o wand/pixel-wand.o wand/magick-property.o wand/pixel-iterator.o wand/wand.o magick/deprecate.o -lm -o imagick_s lto-wrapper: warning: using serial compilation of 31 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make.imagick_s command: 2021-07-08 15:44:46 (1625773486.18319) Elapsed time for make.imagick_s command: 00:00:48 (48.1806750297546) Issuing options.imagick_s command 'specmake --output-sync --jobs=1 options TARGET=imagick_s' Start options.imagick_s command: 2021-07-08 15:44:46 (1625773486.18632) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options.imagick_s command: 2021-07-08 15:44:46 (1625773486.34716) Elapsed time for options.imagick_s command: 00:00:00 (0.16083812713623) Issuing compiler-version.imagick_s command 'specmake --output-sync --jobs=1 compiler-version TARGET=imagick_s' Start compiler-version.imagick_s command: 2021-07-08 15:44:46 (1625773486.35064) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version.imagick_s command: 2021-07-08 15:44:46 (1625773486.53242) Elapsed time for compiler-version.imagick_s command: 00:00:00 (0.181782960891724) Compile for '638.imagick_s' ended at: 2021-07-08 15:44:46 (1625773486) Elapsed compile for '638.imagick_s': 00:00:50 (50) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/exe/nab_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/exe/nab_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 644.nab_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:44:47] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), $(basename binpos.c)): binpos.c memutil.h $(addsuffix $(OBJ), $(basename chirvol.c)): chirvol.c defreal.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename database.c)): database.c database.h $(addsuffix $(OBJ), $(basename errormsg.c)): errormsg.c errormsg.h $(addsuffix $(OBJ), $(basename memutil.c)): memutil.c defreal.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename molio.c)): molio.c defreal.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename molutil.c)): molutil.c defreal.h errormsg.h memutil.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename nabmd.c)): nabmd.c nabcode.h $(addsuffix $(OBJ), $(basename nblist.c)): nblist.c defreal.h $(addsuffix $(OBJ), $(basename prm.c)): prm.c defreal.h errormsg.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename rand2.c)): rand2.c defreal.h $(addsuffix $(OBJ), $(basename reslib.c)): reslib.c chirvol.h database.h defreal.h errormsg.h memutil.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename select_atoms.c)): select_atoms.c defreal.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename sff.c)): sff.c debug.h defreal.h eff.c gbsa.c intersect.c memutil.h nab.h nabtypes.h $(addsuffix $(OBJ), $(basename traceback.c)): traceback.c errormsg.h Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=644 NAME=nab_s SOURCES= nabmd.c sff.c nblist.c prm.c memutil.c molio.c molutil.c \ errormsg.c binpos.c rand2.c select_atoms.c reslib.c database.c \ traceback.c chirvol.c specrand/specrand.c regex-alpha/regcomp.c \ regex-alpha/regerror.c regex-alpha/regexec.c regex-alpha/regfree.c EXEBASE=nab_s NEED_MATH=yes BENCHLANG=C BENCH_FLAGS = -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = nab_s basepeak = 0 benchdir = benchspec benchmark = 644.nab_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = nab_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = nab_s nansupport = need_math = yes no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 644 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = 0.01 reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = 2 skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/544.nab_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = aminos.out gcn4dna.out Compile for '644.nab_s' started at: 2021-07-08 15:44:47 (1625773487) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:44:47 (1625773487.35488) rm -rf *.o aminos.out gcn4dna.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf nab_s rm -rf nab_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:44:47 (1625773487.51638) Elapsed time for make.clean command: 00:00:00 (0.1614990234375) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:44:47 (1625773487.5195) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o nabmd.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 nabmd.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o sff.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 sff.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o nblist.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 nblist.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o prm.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 prm.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o memutil.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 memutil.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o molio.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 molio.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o molutil.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 molutil.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o errormsg.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 errormsg.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o binpos.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 binpos.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o rand2.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 rand2.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o select_atoms.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 select_atoms.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o reslib.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 reslib.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o database.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 database.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o traceback.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 traceback.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o chirvol.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 chirvol.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o specrand/specrand.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 specrand/specrand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regex-alpha/regcomp.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 regex-alpha/regcomp.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regex-alpha/regerror.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 regex-alpha/regerror.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regex-alpha/regexec.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 regex-alpha/regexec.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o regex-alpha/regfree.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 regex-alpha/regfree.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP nabmd.o sff.o nblist.o prm.o memutil.o molio.o molutil.o errormsg.o binpos.o rand2.o select_atoms.o reslib.o database.o traceback.o chirvol.o specrand/specrand.o regex-alpha/regcomp.o regex-alpha/regerror.o regex-alpha/regexec.o regex-alpha/regfree.o -lm -o nab_s lto-wrapper: warning: using serial compilation of 2 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make command: 2021-07-08 15:44:50 (1625773490.22463) Elapsed time for make command: 00:00:02 (2.70513296127319) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:44:50 (1625773490.22778) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" Stop options command: 2021-07-08 15:44:50 (1625773490.38825) Elapsed time for options command: 00:00:00 (0.160470008850098) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:44:50 (1625773490.39177) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:44:50 (1625773490.55943) Elapsed time for compiler-version command: 00:00:00 (0.167655944824219) Compile for '644.nab_s' ended at: 2021-07-08 15:44:50 (1625773490) Elapsed compile for '644.nab_s': 00:00:03 (3) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/exe/fotonik3d_s_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/exe/fotonik3d_s_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 649.fotonik3d_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:44:50] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), MPI_dummy.fppized): MPI_dummy.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) ) $(addsuffix $(OBJ), PEC.fppized): PEC.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized) $(addsuffix $(OBJ), PlaneSource.fppized): PlaneSource.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90 readline.f90) globalvar.fppized) $(addsuffix $(OBJ), UPML.fppized): UPML.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized material.fppized) $(addsuffix $(OBJ), calcflops.fppized): calcflops.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) PlaneSource.fppized globalvar.fppized power.fppized) $(addsuffix $(OBJ), communicate.fppized): communicate.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized) $(addsuffix $(OBJ), globalvar.fppized): globalvar.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) ) $(addsuffix $(OBJ), huygens.fppized): huygens.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized) $(addsuffix $(OBJ), init.fppized): init.fppized.f90 $(addsuffix $(OBJ),$(basename ) PEC.fppized PlaneSource.fppized UPML.fppized communicate.fppized globalvar.fppized huygens.fppized material.fppized mur.fppized power.fppized update.fppized) $(addsuffix $(OBJ), leapfrog.fppized): leapfrog.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) PEC.fppized PlaneSource.fppized UPML.fppized communicate.fppized globalvar.fppized huygens.fppized material.fppized mur.fppized power.fppized update.fppized) $(addsuffix $(OBJ), material.fppized): material.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90 readline.f90) globalvar.fppized) $(addsuffix $(OBJ), mur.fppized): mur.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized material.fppized) $(addsuffix $(OBJ), power.fppized): power.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized) $(addsuffix $(OBJ), update.fppized): update.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized) $(addsuffix $(OBJ), writeout.fppized): writeout.fppized.f90 $(addsuffix $(OBJ),$(basename parameter.f90) globalvar.fppized) $(addsuffix $(OBJ), yeemain.fppized): yeemain.fppized.f90 $(addsuffix $(OBJ),$(basename ) PEC.fppized PlaneSource.fppized UPML.fppized communicate.fppized globalvar.fppized mur.fppized power.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=649 NAME=fotonik3d_s SOURCES= parameter.f90 globalvar.F90 readline.f90 power.F90 calcflops.F90 \ communicate.F90 huygens.F90 update.F90 material.F90 mur.F90 \ PlaneSource.F90 PEC.F90 init.F90 leapfrog.F90 timerRoutine.f90 UPML.F90 \ yeemain.F90 writeout.F90 MPI_dummy.F90 EXEBASE=fotonik3d_s NEED_MATH= BENCHLANG=F BENCH_FLAGS = -I. CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = 1e-27 action = build allow_label_override = 0 backup_config = 1 baseexe = fotonik3d_s basepeak = 0 benchdir = benchspec benchmark = 649.fotonik3d_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = fotonik3d_s_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = 1 force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = fotonik3d_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 649 obiwan = 1 oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = 1e-10 reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/549.fotonik3d_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = pscyee.out Compile for '649.fotonik3d_s' started at: 2021-07-08 15:44:50 (1625773490) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:44:50 (1625773490.99711) rm -rf *.o pscyee.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf fotonik3d_s rm -rf fotonik3d_s.exe rm -rf core Stop make.clean command: 2021-07-08 15:44:51 (1625773491.15857) Elapsed time for make.clean command: 00:00:00 (0.161463975906372) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:44:51 (1625773491.1617) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o parameter.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp parameter.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 globalvar.F90 -o globalvar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o globalvar.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp globalvar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o readline.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp readline.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 power.F90 -o power.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o power.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp power.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 calcflops.F90 -o calcflops.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 PlaneSource.F90 -o PlaneSource.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o PlaneSource.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp PlaneSource.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o calcflops.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp calcflops.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 communicate.F90 -o communicate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o communicate.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp communicate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 huygens.F90 -o huygens.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o huygens.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp huygens.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 update.F90 -o update.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o update.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp update.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 material.F90 -o material.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o material.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp material.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 mur.F90 -o mur.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mur.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mur.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 PEC.F90 -o PEC.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o PEC.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp PEC.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 init.F90 -o init.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 UPML.F90 -o UPML.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o UPML.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp UPML.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o init.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp init.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 leapfrog.F90 -o leapfrog.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o leapfrog.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp leapfrog.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o timerRoutine.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp timerRoutine.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 yeemain.F90 -o yeemain.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o yeemain.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp yeemain.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 writeout.F90 -o writeout.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o writeout.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp writeout.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 MPI_dummy.F90 -o MPI_dummy.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o MPI_dummy.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp MPI_dummy.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP parameter.o globalvar.fppized.o readline.o power.fppized.o calcflops.fppized.o communicate.fppized.o huygens.fppized.o update.fppized.o material.fppized.o mur.fppized.o PlaneSource.fppized.o PEC.fppized.o init.fppized.o leapfrog.fppized.o timerRoutine.o UPML.fppized.o yeemain.fppized.o writeout.fppized.o MPI_dummy.fppized.o -o fotonik3d_s PEC.fppized.f90:412:47: warning: type of ‘mpi_recv’ does not match original declaration [-Wlto-type-mismatch] 412 | my_MPI_COMM_CART,status,ierr) | ^ MPI_dummy.fppized.f90:140:19: note: ‘mpi_recv’ was previously declared here 140 | SUBROUTINE MPI_RECV(BUF, COUNT, DATATYPE, SOURCE, TAG, COMM, STATUS, IERROR) | ^ MPI_dummy.fppized.f90:140:19: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used material.fppized.f90:209:49: warning: type of ‘mpi_ssend’ does not match original declaration [-Wlto-type-mismatch] 209 | my_MPI_COMM_CART,ierr) | ^ MPI_dummy.fppized.f90:152:20: note: ‘mpi_ssend’ was previously declared here 152 | SUBROUTINE MPI_SSEND(BUF, COUNT, DATATYPE, DEST, TAG, COMM, IERROR) | ^ MPI_dummy.fppized.f90:152:20: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used communicate.fppized.f90:278:43: warning: type of ‘mpi_buffer_detach’ does not match original declaration [-Wlto-type-mismatch] 278 | call MPI_BUFFER_DETACH(buffer,bsize,ierr) | ^ MPI_dummy.fppized.f90:233:28: note: type mismatch in parameter 4 233 | SUBROUTINE MPI_BUFFER_DETACH( BUFFER, SIZE, IERROR) | ^ MPI_dummy.fppized.f90:233:28: note: type ‘void’ should match type ‘long int’ MPI_dummy.fppized.f90:233:28: note: ‘mpi_buffer_detach’ was previously declared here MPI_dummy.fppized.f90:233:28: note: code may be misoptimized unless ‘-fno-strict-aliasing’ is used lto-wrapper: warning: using serial compilation of 5 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make command: 2021-07-08 15:45:02 (1625773502.48825) Elapsed time for make command: 00:00:11 (11.3265478610992) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:45:02 (1625773502.49136) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:45:02 (1625773502.67002) Elapsed time for options command: 00:00:00 (0.178660869598389) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:45:02 (1625773502.67356) FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:45:02 (1625773502.86102) Elapsed time for compiler-version command: 00:00:00 (0.187465906143188) Compile for '649.fotonik3d_s' ended at: 2021-07-08 15:45:02 (1625773502) Elapsed compile for '649.fotonik3d_s': 00:00:12 (12) FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/exe/sroms_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/exe/sroms_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 654.roms_s peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:45:03] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies $(addsuffix $(OBJ), abort.fppized): abort.fppized.f90 $(addsuffix $(OBJ),$(basename ) ocean_control.fppized) $(addsuffix $(OBJ), analytical.fppized): analytical.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_forces.fppized mod_grid.fppized mod_ncparam.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), bc_2d.fppized): bc_2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_grid.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), bc_3d.fppized): bc_3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_3d.fppized mod_grid.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), bc_bry2d.fppized): bc_bry2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), bc_bry3d.fppized): bc_bry3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), bulk_flux.fppized): bulk_flux.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_forces.fppized mod_grid.fppized mod_kinds.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), checkadj.fppized): checkadj.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_strings.fppized strings.fppized) $(addsuffix $(OBJ), checkdefs.fppized): checkdefs.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_strings.fppized) $(addsuffix $(OBJ), checkerror.fppized): checkerror.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), checkvars.fppized): checkvars.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), close_io.fppized): close_io.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), def_dim.fppized): def_dim.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), def_his.fppized): def_his.fppized.f90 $(addsuffix $(OBJ),$(basename ) def_var.fppized mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), def_info.fppized): def_info.fppized.f90 $(addsuffix $(OBJ),$(basename ) def_var.fppized mod_grid.fppized mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_strings.fppized) $(addsuffix $(OBJ), def_rst.fppized): def_rst.fppized.f90 $(addsuffix $(OBJ),$(basename ) def_var.fppized mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), def_var.fppized): def_var.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), diag.fppized): diag.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), exchange_2d.fppized): exchange_2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), exchange_3d.fppized): exchange_3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), extract_sta.fppized): extract_sta.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), gasdev.fppized): gasdev.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized nrutil.fppized) $(addsuffix $(OBJ), get_2dfld.fppized): get_2dfld.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized nf_fread2d.fppized nf_fread3d.fppized) $(addsuffix $(OBJ), get_3dfld.fppized): get_3dfld.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized nf_fread3d.fppized) $(addsuffix $(OBJ), get_bounds.fppized): get_bounds.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_parallel.fppized mod_param.fppized) $(addsuffix $(OBJ), get_cycle.fppized): get_cycle.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), get_data.fppized): get_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_forces.fppized mod_grid.fppized mod_iounits.fppized mod_ncparam.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), get_date.fppized): get_date.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), get_ngfld.fppized): get_ngfld.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), get_state.fppized): get_state.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_mixing.fppized mod_ncparam.fppized mod_netcdf.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized mod_strings.fppized nf_fread2d.fppized nf_fread3d.fppized nf_fread4d.fppized strings.fppized) $(addsuffix $(OBJ), get_varcoords.fppized): get_varcoords.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), ini_fields.fppized): ini_fields.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized exchange_3d.fppized mod_coupling.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized set_depth.fppized t3dbc_im.fppized u2dbc_im.fppized u3dbc_im.fppized v2dbc_im.fppized v3dbc_im.fppized zetabc.fppized) $(addsuffix $(OBJ), initial.fppized): initial.fppized.f90 $(addsuffix $(OBJ),$(basename ) analytical.fppized metrics.fppized mod_grid.fppized mod_iounits.fppized mod_ncparam.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized omega.fppized rho_eos.fppized set_depth.fppized set_massflux.fppized stiffness.fppized) $(addsuffix $(OBJ), inp_par.fppized): inp_par.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_kinds.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_strings.fppized ran_state.fppized) $(addsuffix $(OBJ), interpolate.fppized): interpolate.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), lmd_skpp.fppized): lmd_skpp.fppized.f90 $(addsuffix $(OBJ),$(basename ) bc_2d.fppized mod_forces.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), lmd_swfrac.fppized): lmd_swfrac.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_mixing.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), lmd_vmix.fppized): lmd_vmix.fppized.f90 $(addsuffix $(OBJ),$(basename ) bc_3d.fppized lmd_skpp.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), lubksb.fppized): lubksb.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), ludcmp.fppized): ludcmp.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), main3d.fppized): main3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) bulk_flux.fppized diag.fppized ini_fields.fppized lmd_vmix.fppized mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized omega.fppized rho_eos.fppized rhs3d.fppized set_depth.fppized set_massflux.fppized set_vbc.fppized set_zeta.fppized step2d.fppized step3d_t.fppized step3d_uv.fppized wvelocity.fppized) $(addsuffix $(OBJ), master.fppized): master.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized ocean_control.fppized) $(addsuffix $(OBJ), metrics.fppized): metrics.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_grid.fppized mod_iounits.fppized mod_ncparam.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized set_depth.fppized) $(addsuffix $(OBJ), mod_arrays.fppized): mod_arrays.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_coupling.fppized mod_forces.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized) $(addsuffix $(OBJ), mod_coupling.fppized): mod_coupling.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_param.fppized) $(addsuffix $(OBJ), mod_eoscoef.fppized): mod_eoscoef.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), mod_forces.fppized): mod_forces.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_param.fppized) $(addsuffix $(OBJ), mod_grid.fppized): mod_grid.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_param.fppized) $(addsuffix $(OBJ), mod_iounits.fppized): mod_iounits.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), mod_mixing.fppized): mod_mixing.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), mod_ncparam.fppized): mod_ncparam.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), mod_netcdf.fppized): mod_netcdf.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_kinds.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), mod_ocean.fppized): mod_ocean.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_param.fppized) $(addsuffix $(OBJ), mod_parallel.fppized): mod_parallel.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_param.fppized mod_scalars.fppized mod_strings.fppized) $(addsuffix $(OBJ), mod_param.fppized): mod_param.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), mod_scalars.fppized): mod_scalars.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), mod_stepping.fppized): mod_stepping.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), mp_routines.fppized): mp_routines.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), nf_fread2d.fppized): nf_fread2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fread2d_bry.fppized): nf_fread2d_bry.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fread3d.fppized): nf_fread3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fread3d_bry.fppized): nf_fread3d_bry.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fread4d.fppized): nf_fread4d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fwrite2d.fppized): nf_fwrite2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fwrite2d_bry.fppized): nf_fwrite2d_bry.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fwrite3d.fppized): nf_fwrite3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fwrite3d_bry.fppized): nf_fwrite3d_bry.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nf_fwrite4d.fppized): nf_fwrite4d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), nrutil.fppized): nrutil.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), ocean_control.fppized): ocean_control.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), omega.fppized): omega.fppized.f90 $(addsuffix $(OBJ),$(basename ) bc_3d.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), output.fppized): output.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), pre_step3d.fppized): pre_step3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_3d.fppized mod_forces.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized t3dbc_im.fppized) $(addsuffix $(OBJ), prsgrd.fppized): prsgrd.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), ran1.fppized): ran1.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized ran_state.fppized) $(addsuffix $(OBJ), ran_state.fppized): ran_state.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized nrutil.fppized) $(addsuffix $(OBJ), regrid.fppized): regrid.fppized.f90 $(addsuffix $(OBJ),$(basename ) interpolate.fppized mod_iounits.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), rho_eos.fppized): rho_eos.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized exchange_3d.fppized mod_coupling.fppized mod_eoscoef.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), rhs3d.fppized): rhs3d.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_coupling.fppized mod_forces.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized pre_step3d.fppized prsgrd.fppized t3dmix.fppized uv3dmix.fppized) $(addsuffix $(OBJ), set_2dfld.fppized): set_2dfld.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_iounits.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), set_3dfld.fppized): set_3dfld.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_3d.fppized mod_iounits.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), set_data.fppized): set_data.fppized.f90 $(addsuffix $(OBJ),$(basename ) analytical.fppized exchange_2d.fppized mod_boundary.fppized mod_forces.fppized mod_grid.fppized mod_mixing.fppized mod_ncparam.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized set_2dfld.fppized set_3dfld.fppized) $(addsuffix $(OBJ), set_depth.fppized): set_depth.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized exchange_3d.fppized mod_coupling.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), set_massflux.fppized): set_massflux.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_3d.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), set_ngfld.fppized): set_ngfld.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_ncparam.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), set_scoord.fppized): set_scoord.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), set_vbc.fppized): set_vbc.fppized.f90 $(addsuffix $(OBJ),$(basename ) bc_2d.fppized mod_forces.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), set_weights.fppized): set_weights.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), set_zeta.fppized): set_zeta.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_coupling.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), shapiro.fppized): shapiro.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), state_addition.fppized): state_addition.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), state_copy.fppized): state_copy.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), state_dotprod.fppized): state_dotprod.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_parallel.fppized mod_param.fppized) $(addsuffix $(OBJ), state_initialize.fppized): state_initialize.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), state_product.fppized): state_product.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_ncparam.fppized mod_parallel.fppized mod_param.fppized) $(addsuffix $(OBJ), state_scale.fppized): state_scale.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_param.fppized) $(addsuffix $(OBJ), step2d.fppized): step2d.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized mod_coupling.fppized mod_forces.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized u2dbc_im.fppized v2dbc_im.fppized zetabc.fppized) $(addsuffix $(OBJ), step3d_t.fppized): step3d_t.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_3d.fppized mod_grid.fppized mod_mixing.fppized mod_ncparam.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized t3dbc_im.fppized) $(addsuffix $(OBJ), step3d_uv.fppized): step3d_uv.fppized.f90 $(addsuffix $(OBJ),$(basename ) exchange_2d.fppized exchange_3d.fppized mod_coupling.fppized mod_forces.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized u3dbc_im.fppized v3dbc_im.fppized) $(addsuffix $(OBJ), stiffness.fppized): stiffness.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized) $(addsuffix $(OBJ), t3dbc_im.fppized): t3dbc_im.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), t3dmix.fppized): t3dmix.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), timers.fppized): timers.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_iounits.fppized mod_parallel.fppized mod_param.fppized mod_strings.fppized) $(addsuffix $(OBJ), u2dbc_im.fppized): u2dbc_im.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_forces.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), u3dbc_im.fppized): u3dbc_im.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), utility.fppized): utility.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized) $(addsuffix $(OBJ), uv3dmix.fppized): uv3dmix.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_coupling.fppized mod_grid.fppized mod_mixing.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), v2dbc_im.fppized): v2dbc_im.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_forces.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), v3dbc_im.fppized): v3dbc_im.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), white_noise.fppized): white_noise.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_kinds.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized nrutil.fppized) $(addsuffix $(OBJ), wrt_his.fppized): wrt_his.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_coupling.fppized mod_forces.fppized mod_grid.fppized mod_iounits.fppized mod_mixing.fppized mod_ncparam.fppized mod_netcdf.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized nf_fwrite2d.fppized nf_fwrite3d.fppized omega.fppized) $(addsuffix $(OBJ), wrt_info.fppized): wrt_info.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_ncparam.fppized mod_netcdf.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized nf_fwrite2d.fppized strings.fppized) $(addsuffix $(OBJ), wrt_rst.fppized): wrt_rst.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_grid.fppized mod_iounits.fppized mod_mixing.fppized mod_ncparam.fppized mod_netcdf.fppized mod_ocean.fppized mod_parallel.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized nf_fwrite2d.fppized nf_fwrite3d.fppized) $(addsuffix $(OBJ), wvelocity.fppized): wvelocity.fppized.f90 $(addsuffix $(OBJ),$(basename ) bc_3d.fppized exchange_2d.fppized mod_coupling.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) $(addsuffix $(OBJ), zetabc.fppized): zetabc.fppized.f90 $(addsuffix $(OBJ),$(basename ) mod_boundary.fppized mod_grid.fppized mod_ocean.fppized mod_param.fppized mod_scalars.fppized mod_stepping.fppized) Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=654 NAME=roms_s SOURCES= bbl.F90 bc_2d.F90 exchange_2d.F90 mod_param.F90 mod_kinds.F90 \ mod_grid.F90 mod_scalars.F90 mod_bbl.F90 mod_forces.F90 mod_ocean.F90 \ mod_sediment.F90 mod_parallel.F90 mod_iounits.F90 mod_strings.F90 \ mod_stepping.F90 mp_exchange.F90 bc_3d.F90 exchange_3d.F90 bc_bry2d.F90 \ bc_bry3d.F90 bulk_flux.F90 mod_mixing.F90 bvf_mix.F90 conv_2d.F90 \ conv_3d.F90 conv_bry2d.F90 conv_bry3d.F90 diag.F90 analytical.F90 \ distribute.F90 mod_ncparam.F90 mod_biology.F90 mod_eclight.F90 \ mod_boundary.F90 mod_clima.F90 mod_sources.F90 mod_netcdf.F90 \ strings.F90 forcing.F90 mod_coupling.F90 frc_adjust.F90 get_data.F90 \ mod_obs.F90 get_idata.F90 mod_tides.F90 nf_fread3d.F90 nf_fread4d.F90 \ gls_corstep.F90 tkebc_im.F90 gls_prestep.F90 hmixing.F90 ini_fields.F90 \ set_depth.F90 t3dbc_im.F90 u2dbc_im.F90 u3dbc_im.F90 v2dbc_im.F90 \ v3dbc_im.F90 zetabc.F90 initial.F90 ini_adjust.F90 mod_fourdvar.F90 \ state_addition.F90 state_copy.F90 metrics.F90 ocean_coupler.F90 \ mod_coupler.F90 roms_export.F90 roms_import.F90 omega.F90 rho_eos.F90 \ mod_eoscoef.F90 set_massflux.F90 stiffness.F90 wpoints.F90 \ mod_storage.F90 interp_floats.F90 lmd_bkpp.F90 shapiro.F90 lmd_skpp.F90 \ lmd_swfrac.F90 lmd_vmix.F90 main2d.F90 dotproduct.F90 obc_adjust.F90 \ oi_update.F90 radiation_stress.F90 mod_diags.F90 set_avg.F90 \ mod_average.F90 set_tides.F90 set_vbc.F90 step2d.F90 obc_volcons.F90 \ wetdry.F90 step_floats.F90 mod_floats.F90 vwalk_floats.F90 utility.F90 \ main3d.F90 biology.F90 my25_corstep.F90 my25_prestep.F90 rhs3d.F90 \ pre_step3d.F90 prsgrd.F90 t3dmix.F90 uv3dmix.F90 sediment.F90 \ sed_bed.F90 sed_bedload.F90 sed_fluxes.F90 sed_settling.F90 \ sed_surface.F90 set_zeta.F90 step3d_t.F90 mpdata_adiff.F90 step3d_uv.F90 \ wvelocity.F90 output.F90 set_data.F90 set_2dfld.F90 set_3dfld.F90 \ abort.F90 ocean_control.F90 back_cost.F90 cgradient.F90 nf_fread2d.F90 \ nf_fread2d_bry.F90 nf_fread3d_bry.F90 state_dotprod.F90 \ state_initialize.F90 state_scale.F90 cost_grad.F90 normalization.F90 \ nf_fwrite2d.F90 nf_fwrite3d.F90 white_noise.F90 nrutil.F90 packing.F90 \ posterior.F90 posterior_var.F90 state_product.F90 propagator.F90 \ random_ic.F90 sum_grad.F90 zeta_balance.F90 checkadj.F90 checkdefs.F90 \ checkerror.F90 checkvars.F90 close_io.F90 congrad.F90 def_avg.F90 \ def_var.F90 def_diags.F90 def_dim.F90 def_error.F90 def_floats.F90 \ def_gst.F90 def_hessian.F90 def_his.F90 def_impulse.F90 def_info.F90 \ def_ini.F90 def_lanczos.F90 def_mod.F90 def_norm.F90 def_rst.F90 \ def_station.F90 def_tides.F90 extract_obs.F90 extract_sta.F90 \ frc_weak.F90 gasdev.F90 get_2dfld.F90 get_2dfldr.F90 get_3dfld.F90 \ get_3dfldr.F90 get_bounds.F90 get_cycle.F90 get_date.F90 get_grid.F90 \ get_gst.F90 get_ngfld.F90 get_ngfldr.F90 get_state.F90 get_varcoords.F90 \ grid_coords.F90 interpolate.F90 ini_lanczos.F90 inp_par.F90 \ ran_state.F90 lubksb.F90 ludcmp.F90 mp_routines.F90 nf_fwrite2d_bry.F90 \ nf_fwrite3d_bry.F90 nf_fwrite4d.F90 obs_cost.F90 obs_depth.F90 \ obs_initial.F90 obs_read.F90 obs_write.F90 ran1.F90 regrid.F90 \ rep_matrix.F90 set_2dfldr.F90 set_3dfldr.F90 set_diags.F90 set_ngfld.F90 \ set_ngfldr.F90 set_scoord.F90 set_weights.F90 stats_modobs.F90 \ timers.F90 wrt_avg.F90 wrt_diags.F90 wrt_error.F90 wrt_floats.F90 \ wrt_gst.F90 wrt_hessian.F90 wrt_his.F90 wrt_impulse.F90 wrt_info.F90 \ wrt_ini.F90 wrt_rst.F90 wrt_station.F90 wrt_tides.F90 mod_arrays.F90 \ mod_nesting.F90 esmf_roms.F90 master.F90 EXEBASE=sroms NEED_MATH= BENCHLANG=F BENCH_CFLAGS = -I. BENCH_FFLAGS = -I. BENCH_FPPFLAGS = -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = 1e-07 action = build allow_label_override = 0 backup_config = 1 baseexe = sroms basepeak = 0 benchdir = benchspec benchmark = 654.roms_s binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 1 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = sroms_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = roms_s nansupport = need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 654 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = 1e-07 reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/554.roms_r/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = ocean_benchmark1.log Compile for '654.roms_s' started at: 2021-07-08 15:45:03 (1625773503) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:45:03 (1625773503.35739) rm -rf *.o ocean_benchmark1.log find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf sroms rm -rf sroms.exe rm -rf core Stop make.clean command: 2021-07-08 15:45:03 (1625773503.52042) Elapsed time for make.clean command: 00:00:00 (0.163028955459595) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:45:03 (1625773503.52353) /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bbl.F90 -o bbl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bbl.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp bbl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bc_2d.F90 -o bc_2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 exchange_2d.F90 -o exchange_2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_param.F90 -o mod_param.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_kinds.F90 -o mod_kinds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_kinds.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_kinds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_param.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_param.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o exchange_2d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp exchange_2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_grid.F90 -o mod_grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_grid.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_grid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_scalars.F90 -o mod_scalars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_scalars.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_scalars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bc_2d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp bc_2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_bbl.F90 -o mod_bbl.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_bbl.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_bbl.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_forces.F90 -o mod_forces.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_forces.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_forces.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_ocean.F90 -o mod_ocean.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_ocean.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_ocean.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_sediment.F90 -o mod_sediment.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_sediment.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_sediment.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_parallel.F90 -o mod_parallel.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_iounits.F90 -o mod_iounits.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_iounits.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_iounits.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_strings.F90 -o mod_strings.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_strings.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_strings.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_parallel.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_parallel.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_stepping.F90 -o mod_stepping.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_stepping.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_stepping.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mp_exchange.F90 -o mp_exchange.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mp_exchange.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mp_exchange.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bc_3d.F90 -o bc_3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 exchange_3d.F90 -o exchange_3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o exchange_3d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp exchange_3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bc_3d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp bc_3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bc_bry2d.F90 -o bc_bry2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bc_bry2d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp bc_bry2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bc_bry3d.F90 -o bc_bry3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bc_bry3d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp bc_bry3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bulk_flux.F90 -o bulk_flux.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_mixing.F90 -o mod_mixing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_mixing.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_mixing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bulk_flux.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp bulk_flux.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 bvf_mix.F90 -o bvf_mix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o bvf_mix.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp bvf_mix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 conv_2d.F90 -o conv_2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o conv_2d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp conv_2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 conv_3d.F90 -o conv_3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o conv_3d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp conv_3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 conv_bry2d.F90 -o conv_bry2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o conv_bry2d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp conv_bry2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 conv_bry3d.F90 -o conv_bry3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o conv_bry3d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp conv_bry3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 diag.F90 -o diag.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o diag.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp diag.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 analytical.F90 -o analytical.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_ncparam.F90 -o mod_ncparam.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_ncparam.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_ncparam.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o analytical.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp analytical.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 distribute.F90 -o distribute.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o distribute.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp distribute.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_biology.F90 -o mod_biology.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_biology.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_biology.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_eclight.F90 -o mod_eclight.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_eclight.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_eclight.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_boundary.F90 -o mod_boundary.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_boundary.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_boundary.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_clima.F90 -o mod_clima.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_clima.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_clima.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_sources.F90 -o mod_sources.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_sources.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_sources.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_netcdf.F90 -o mod_netcdf.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_netcdf.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_netcdf.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 strings.F90 -o strings.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o strings.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp strings.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 forcing.F90 -o forcing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o forcing.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp forcing.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_coupling.F90 -o mod_coupling.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_coupling.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_coupling.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 frc_adjust.F90 -o frc_adjust.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o frc_adjust.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp frc_adjust.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_data.F90 -o get_data.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_data.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_obs.F90 -o mod_obs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_obs.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_obs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_idata.F90 -o get_idata.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_idata.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_idata.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_tides.F90 -o mod_tides.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_tides.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_tides.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fread3d.F90 -o nf_fread3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fread3d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp nf_fread3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fread4d.F90 -o nf_fread4d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fread4d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp nf_fread4d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 gls_corstep.F90 -o gls_corstep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gls_corstep.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp gls_corstep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 tkebc_im.F90 -o tkebc_im.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o tkebc_im.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp tkebc_im.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 gls_prestep.F90 -o gls_prestep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gls_prestep.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp gls_prestep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 hmixing.F90 -o hmixing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o hmixing.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp hmixing.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ini_fields.F90 -o ini_fields.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_depth.F90 -o set_depth.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_depth.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_depth.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 t3dbc_im.F90 -o t3dbc_im.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o t3dbc_im.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp t3dbc_im.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 u2dbc_im.F90 -o u2dbc_im.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o u2dbc_im.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp u2dbc_im.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 u3dbc_im.F90 -o u3dbc_im.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o u3dbc_im.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp u3dbc_im.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 v2dbc_im.F90 -o v2dbc_im.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o v2dbc_im.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp v2dbc_im.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 v3dbc_im.F90 -o v3dbc_im.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o v3dbc_im.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp v3dbc_im.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 zetabc.F90 -o zetabc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zetabc.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp zetabc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ini_fields.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ini_fields.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 initial.F90 -o initial.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 metrics.F90 -o metrics.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o metrics.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp metrics.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 omega.F90 -o omega.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o omega.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp omega.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 rho_eos.F90 -o rho_eos.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_eoscoef.F90 -o mod_eoscoef.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_eoscoef.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_eoscoef.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rho_eos.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp rho_eos.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_massflux.F90 -o set_massflux.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_massflux.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_massflux.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 stiffness.F90 -o stiffness.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o stiffness.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp stiffness.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o initial.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp initial.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ini_adjust.F90 -o ini_adjust.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ini_adjust.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ini_adjust.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_fourdvar.F90 -o mod_fourdvar.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_fourdvar.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_fourdvar.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 state_addition.F90 -o state_addition.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_addition.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp state_addition.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 state_copy.F90 -o state_copy.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_copy.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp state_copy.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ocean_coupler.F90 -o ocean_coupler.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocean_coupler.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ocean_coupler.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_coupler.F90 -o mod_coupler.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_coupler.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_coupler.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 roms_export.F90 -o roms_export.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o roms_export.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp roms_export.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 roms_import.F90 -o roms_import.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o roms_import.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp roms_import.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wpoints.F90 -o wpoints.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wpoints.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wpoints.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_storage.F90 -o mod_storage.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_storage.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_storage.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 interp_floats.F90 -o interp_floats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o interp_floats.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp interp_floats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 lmd_bkpp.F90 -o lmd_bkpp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lmd_bkpp.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp lmd_bkpp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 shapiro.F90 -o shapiro.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o shapiro.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp shapiro.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 lmd_skpp.F90 -o lmd_skpp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lmd_skpp.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp lmd_skpp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 lmd_swfrac.F90 -o lmd_swfrac.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lmd_swfrac.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp lmd_swfrac.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 lmd_vmix.F90 -o lmd_vmix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lmd_vmix.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp lmd_vmix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 main2d.F90 -o main2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o main2d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp main2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 dotproduct.F90 -o dotproduct.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o dotproduct.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp dotproduct.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obc_adjust.F90 -o obc_adjust.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obc_adjust.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp obc_adjust.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 oi_update.F90 -o oi_update.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o oi_update.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp oi_update.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 radiation_stress.F90 -o radiation_stress.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o radiation_stress.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp radiation_stress.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_diags.F90 -o mod_diags.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_diags.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_diags.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_avg.F90 -o set_avg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_avg.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_avg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_average.F90 -o mod_average.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_average.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_average.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_tides.F90 -o set_tides.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_tides.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_tides.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_vbc.F90 -o set_vbc.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_vbc.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_vbc.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 step2d.F90 -o step2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o step2d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp step2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obc_volcons.F90 -o obc_volcons.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obc_volcons.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp obc_volcons.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wetdry.F90 -o wetdry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wetdry.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wetdry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 step_floats.F90 -o step_floats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o step_floats.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp step_floats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_floats.F90 -o mod_floats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_floats.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_floats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 vwalk_floats.F90 -o vwalk_floats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o vwalk_floats.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp vwalk_floats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 utility.F90 -o utility.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o utility.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp utility.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 main3d.F90 -o main3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 rhs3d.F90 -o rhs3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 pre_step3d.F90 -o pre_step3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o pre_step3d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp pre_step3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 prsgrd.F90 -o prsgrd.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o prsgrd.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp prsgrd.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 t3dmix.F90 -o t3dmix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o t3dmix.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp t3dmix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 uv3dmix.F90 -o uv3dmix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o uv3dmix.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp uv3dmix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rhs3d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp rhs3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_zeta.F90 -o set_zeta.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_zeta.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_zeta.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 step3d_t.F90 -o step3d_t.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o step3d_t.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp step3d_t.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 step3d_uv.F90 -o step3d_uv.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o step3d_uv.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp step3d_uv.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wvelocity.F90 -o wvelocity.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wvelocity.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wvelocity.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o main3d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp main3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 biology.F90 -o biology.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o biology.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp biology.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 my25_corstep.F90 -o my25_corstep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o my25_corstep.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp my25_corstep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 my25_prestep.F90 -o my25_prestep.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o my25_prestep.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp my25_prestep.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sediment.F90 -o sediment.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sediment.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp sediment.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sed_bed.F90 -o sed_bed.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sed_bed.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp sed_bed.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sed_bedload.F90 -o sed_bedload.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sed_bedload.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp sed_bedload.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sed_fluxes.F90 -o sed_fluxes.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sed_fluxes.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp sed_fluxes.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sed_settling.F90 -o sed_settling.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sed_settling.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp sed_settling.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sed_surface.F90 -o sed_surface.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sed_surface.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp sed_surface.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mpdata_adiff.F90 -o mpdata_adiff.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mpdata_adiff.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mpdata_adiff.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 output.F90 -o output.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o output.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp output.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_data.F90 -o set_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_2dfld.F90 -o set_2dfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_2dfld.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_2dfld.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_3dfld.F90 -o set_3dfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_3dfld.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_3dfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_data.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_data.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 abort.F90 -o abort.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ocean_control.F90 -o ocean_control.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ocean_control.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ocean_control.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o abort.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp abort.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 back_cost.F90 -o back_cost.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o back_cost.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp back_cost.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 cgradient.F90 -o cgradient.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cgradient.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cgradient.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fread2d.F90 -o nf_fread2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fread2d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp nf_fread2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fread2d_bry.F90 -o nf_fread2d_bry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fread2d_bry.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp nf_fread2d_bry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fread3d_bry.F90 -o nf_fread3d_bry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fread3d_bry.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp nf_fread3d_bry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 state_dotprod.F90 -o state_dotprod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_dotprod.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp state_dotprod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 state_initialize.F90 -o state_initialize.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_initialize.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp state_initialize.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 state_scale.F90 -o state_scale.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_scale.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp state_scale.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 cost_grad.F90 -o cost_grad.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o cost_grad.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp cost_grad.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 normalization.F90 -o normalization.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o normalization.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp normalization.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fwrite2d.F90 -o nf_fwrite2d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fwrite2d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp nf_fwrite2d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fwrite3d.F90 -o nf_fwrite3d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fwrite3d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp nf_fwrite3d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 white_noise.F90 -o white_noise.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nrutil.F90 -o nrutil.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nrutil.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp nrutil.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o white_noise.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp white_noise.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 packing.F90 -o packing.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o packing.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp packing.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 posterior.F90 -o posterior.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o posterior.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp posterior.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 posterior_var.F90 -o posterior_var.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o posterior_var.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp posterior_var.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 state_product.F90 -o state_product.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o state_product.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp state_product.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 propagator.F90 -o propagator.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o propagator.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp propagator.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 random_ic.F90 -o random_ic.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o random_ic.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp random_ic.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 sum_grad.F90 -o sum_grad.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o sum_grad.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp sum_grad.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 zeta_balance.F90 -o zeta_balance.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o zeta_balance.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp zeta_balance.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 checkadj.F90 -o checkadj.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o checkadj.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp checkadj.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 checkdefs.F90 -o checkdefs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o checkdefs.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp checkdefs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 checkerror.F90 -o checkerror.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o checkerror.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp checkerror.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 checkvars.F90 -o checkvars.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o checkvars.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp checkvars.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 close_io.F90 -o close_io.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o close_io.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp close_io.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 congrad.F90 -o congrad.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o congrad.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp congrad.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_avg.F90 -o def_avg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_avg.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_avg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_var.F90 -o def_var.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_var.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_var.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_diags.F90 -o def_diags.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_diags.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_diags.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_dim.F90 -o def_dim.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_dim.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_dim.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_error.F90 -o def_error.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_error.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_error.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_floats.F90 -o def_floats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_floats.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_floats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_gst.F90 -o def_gst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_gst.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_gst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_hessian.F90 -o def_hessian.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_hessian.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_hessian.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_his.F90 -o def_his.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_his.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_his.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_impulse.F90 -o def_impulse.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_impulse.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_impulse.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_info.F90 -o def_info.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_info.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_info.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_ini.F90 -o def_ini.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_ini.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_ini.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_lanczos.F90 -o def_lanczos.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_lanczos.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_lanczos.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_mod.F90 -o def_mod.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_mod.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_mod.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_norm.F90 -o def_norm.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_norm.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_norm.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_rst.F90 -o def_rst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_rst.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_rst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_station.F90 -o def_station.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_station.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_station.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 def_tides.F90 -o def_tides.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o def_tides.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp def_tides.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 extract_obs.F90 -o extract_obs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o extract_obs.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp extract_obs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 extract_sta.F90 -o extract_sta.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o extract_sta.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp extract_sta.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 frc_weak.F90 -o frc_weak.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o frc_weak.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp frc_weak.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 gasdev.F90 -o gasdev.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o gasdev.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp gasdev.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_2dfld.F90 -o get_2dfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_2dfld.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_2dfld.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_2dfldr.F90 -o get_2dfldr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_2dfldr.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_2dfldr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_3dfld.F90 -o get_3dfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_3dfld.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_3dfld.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_3dfldr.F90 -o get_3dfldr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_3dfldr.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_3dfldr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_bounds.F90 -o get_bounds.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_bounds.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_bounds.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_cycle.F90 -o get_cycle.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_cycle.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_cycle.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_date.F90 -o get_date.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_date.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_date.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_grid.F90 -o get_grid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_grid.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_grid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_gst.F90 -o get_gst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_gst.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_gst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_ngfld.F90 -o get_ngfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_ngfld.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_ngfld.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_ngfldr.F90 -o get_ngfldr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_ngfldr.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_ngfldr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_state.F90 -o get_state.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_state.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_state.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 get_varcoords.F90 -o get_varcoords.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o get_varcoords.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp get_varcoords.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 grid_coords.F90 -o grid_coords.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o grid_coords.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp grid_coords.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 interpolate.F90 -o interpolate.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o interpolate.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp interpolate.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ini_lanczos.F90 -o ini_lanczos.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ini_lanczos.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ini_lanczos.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 inp_par.F90 -o inp_par.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ran_state.F90 -o ran_state.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ran_state.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ran_state.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o inp_par.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp inp_par.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 lubksb.F90 -o lubksb.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o lubksb.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp lubksb.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ludcmp.F90 -o ludcmp.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ludcmp.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ludcmp.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mp_routines.F90 -o mp_routines.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mp_routines.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mp_routines.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fwrite2d_bry.F90 -o nf_fwrite2d_bry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fwrite2d_bry.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp nf_fwrite2d_bry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fwrite3d_bry.F90 -o nf_fwrite3d_bry.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fwrite3d_bry.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp nf_fwrite3d_bry.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 nf_fwrite4d.F90 -o nf_fwrite4d.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o nf_fwrite4d.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp nf_fwrite4d.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obs_cost.F90 -o obs_cost.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obs_cost.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp obs_cost.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obs_depth.F90 -o obs_depth.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obs_depth.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp obs_depth.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obs_initial.F90 -o obs_initial.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obs_initial.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp obs_initial.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obs_read.F90 -o obs_read.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obs_read.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp obs_read.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 obs_write.F90 -o obs_write.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o obs_write.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp obs_write.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 ran1.F90 -o ran1.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o ran1.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp ran1.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 regrid.F90 -o regrid.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o regrid.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp regrid.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 rep_matrix.F90 -o rep_matrix.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o rep_matrix.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp rep_matrix.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_2dfldr.F90 -o set_2dfldr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_2dfldr.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_2dfldr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_3dfldr.F90 -o set_3dfldr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_3dfldr.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_3dfldr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_diags.F90 -o set_diags.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_diags.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_diags.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_ngfld.F90 -o set_ngfld.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_ngfld.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_ngfld.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_ngfldr.F90 -o set_ngfldr.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_ngfldr.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_ngfldr.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_scoord.F90 -o set_scoord.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_scoord.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_scoord.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 set_weights.F90 -o set_weights.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o set_weights.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp set_weights.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 stats_modobs.F90 -o stats_modobs.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o stats_modobs.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp stats_modobs.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 timers.F90 -o timers.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o timers.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp timers.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_avg.F90 -o wrt_avg.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_avg.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrt_avg.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_diags.F90 -o wrt_diags.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_diags.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrt_diags.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_error.F90 -o wrt_error.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_error.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrt_error.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_floats.F90 -o wrt_floats.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_floats.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrt_floats.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_gst.F90 -o wrt_gst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_gst.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrt_gst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_hessian.F90 -o wrt_hessian.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_hessian.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrt_hessian.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_his.F90 -o wrt_his.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_his.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrt_his.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_impulse.F90 -o wrt_impulse.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_impulse.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrt_impulse.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_info.F90 -o wrt_info.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_info.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrt_info.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_ini.F90 -o wrt_ini.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_ini.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrt_ini.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_rst.F90 -o wrt_rst.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_rst.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrt_rst.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_station.F90 -o wrt_station.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_station.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrt_station.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 wrt_tides.F90 -o wrt_tides.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o wrt_tides.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp wrt_tides.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_arrays.F90 -o mod_arrays.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_arrays.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_arrays.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 mod_nesting.F90 -o mod_nesting.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o mod_nesting.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp mod_nesting.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 esmf_roms.F90 -o esmf_roms.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o esmf_roms.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp esmf_roms.fppized.f90 /notnfs/vmakarov/spec2017/bin/specperl /notnfs/vmakarov/spec2017/bin/harness/specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 master.F90 -o master.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o master.fppized.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp master.fppized.f90 /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP bbl.fppized.o bc_2d.fppized.o exchange_2d.fppized.o mod_param.fppized.o mod_kinds.fppized.o mod_grid.fppized.o mod_scalars.fppized.o mod_bbl.fppized.o mod_forces.fppized.o mod_ocean.fppized.o mod_sediment.fppized.o mod_parallel.fppized.o mod_iounits.fppized.o mod_strings.fppized.o mod_stepping.fppized.o mp_exchange.fppized.o bc_3d.fppized.o exchange_3d.fppized.o bc_bry2d.fppized.o bc_bry3d.fppized.o bulk_flux.fppized.o mod_mixing.fppized.o bvf_mix.fppized.o conv_2d.fppized.o conv_3d.fppized.o conv_bry2d.fppized.o conv_bry3d.fppized.o diag.fppized.o analytical.fppized.o distribute.fppized.o mod_ncparam.fppized.o mod_biology.fppized.o mod_eclight.fppized.o mod_boundary.fppized.o mod_clima.fppized.o mod_sources.fppized.o mod_netcdf.fppized.o strings.fppized.o forcing.fppized.o mod_coupling.fppized.o frc_adjust.fppized.o get_data. fppized.o mod_obs.fppized.o get_idata.fppized.o mod_tides.fppized.o nf_fread3d.fppized.o nf_fread4d.fppized.o gls_corstep.fppized.o tkebc_im.fppized.o gls_prestep.fppized.o hmixing.fppized.o ini_fields.fppized.o set_depth.fppized.o t3dbc_im.fppized.o u2dbc_im.fppized.o u3dbc_im.fppized.o v2dbc_im.fppized.o v3dbc_im.fppized.o zetabc.fppized.o initial.fppized.o ini_adjust.fppized.o mod_fourdvar.fppized.o state_addition.fppized.o state_copy.fppized.o metrics.fppized.o ocean_coupler.fppized.o mod_coupler.fppized.o roms_export.fppized.o roms_import.fppized.o omega.fppized.o rho_eos.fppized.o mod_eoscoef.fppized.o set_massflux.fppized.o stiffness.fppized.o wpoints.fppized.o mod_storage.fppized.o interp_floats.fppized.o lmd_bkpp.fppized.o shapiro.fppized.o lmd_skpp.fppized.o lmd_swfrac.fppized.o lmd_vmix.fppized.o main2d.fppized.o dotproduct.fppized.o obc_adjust.fppized.o oi_update.fppized.o radiation_stress.fppized.o mod_diags.fppized.o set_avg.fppized.o mod_average.fppized.o set_tides.fppized.o set_vbc.fppized .o step2d.fppized.o obc_volcons.fppized.o wetdry.fppized.o step_floats.fppized.o mod_floats.fppized.o vwalk_floats.fppized.o utility.fppized.o main3d.fppized.o biology.fppized.o my25_corstep.fppized.o my25_prestep.fppized.o rhs3d.fppized.o pre_step3d.fppized.o prsgrd.fppized.o t3dmix.fppized.o uv3dmix.fppized.o sediment.fppized.o sed_bed.fppized.o sed_bedload.fppized.o sed_fluxes.fppized.o sed_settling.fppized.o sed_surface.fppized.o set_zeta.fppized.o step3d_t.fppized.o mpdata_adiff.fppized.o step3d_uv.fppized.o wvelocity.fppized.o output.fppized.o set_data.fppized.o set_2dfld.fppized.o set_3dfld.fppized.o abort.fppized.o ocean_control.fppized.o back_cost.fppized.o cgradient.fppized.o nf_fread2d.fppized.o nf_fread2d_bry.fppized.o nf_fread3d_bry.fppized.o state_dotprod.fppized.o state_initialize.fppized.o state_scale.fppized.o cost_grad.fppized.o normalization.fppized.o nf_fwrite2d.fppized.o nf_fwrite3d.fppized.o white_noise.fppized.o nrutil.fppized.o packing.fppized.o posterior.fppized.o posterior_var.fp pized.o state_product.fppized.o propagator.fppized.o random_ic.fppized.o sum_grad.fppized.o zeta_balance.fppized.o checkadj.fppized.o checkdefs.fppized.o checkerror.fppized.o checkvars.fppized.o close_io.fppized.o congrad.fppized.o def_avg.fppized.o def_var.fppized.o def_diags.fppized.o def_dim.fppized.o def_error.fppized.o def_floats.fppized.o def_gst.fppized.o def_hessian.fppized.o def_his.fppized.o def_impulse.fppized.o def_info.fppized.o def_ini.fppized.o def_lanczos.fppized.o def_mod.fppized.o def_norm.fppized.o def_rst.fppized.o def_station.fppized.o def_tides.fppized.o extract_obs.fppized.o extract_sta.fppized.o frc_weak.fppized.o gasdev.fppized.o get_2dfld.fppized.o get_2dfldr.fppized.o get_3dfld.fppized.o get_3dfldr.fppized.o get_bounds.fppized.o get_cycle.fppized.o get_date.fppized.o get_grid.fppized.o get_gst.fppized.o get_ngfld.fppized.o get_ngfldr.fppized.o get_state.fppized.o get_varcoords.fppized.o grid_coords.fppized.o interpolate.fppized.o ini_lanczos.fppized.o inp_par.fppized.o ran_state .fppized.o lubksb.fppized.o ludcmp.fppized.o mp_routines.fppized.o nf_fwrite2d_bry.fppized.o nf_fwrite3d_bry.fppized.o nf_fwrite4d.fppized.o obs_cost.fppized.o obs_depth.fppized.o obs_initial.fppized.o obs_read.fppized.o obs_write.fppized.o ran1.fppized.o regrid.fppized.o rep_matrix.fppized.o set_2dfldr.fppized.o set_3dfldr.fppized.o set_diags.fppized.o set_ngfld.fppized.o set_ngfldr.fppized.o set_scoord.fppized.o set_weights.fppized.o stats_modobs.fppized.o timers.fppized.o wrt_avg.fppized.o wrt_diags.fppized.o wrt_error.fppized.o wrt_floats.fppized.o wrt_gst.fppized.o wrt_hessian.fppized.o wrt_his.fppized.o wrt_impulse.fppized.o wrt_info.fppized.o wrt_ini.fppized.o wrt_rst.fppized.o wrt_station.fppized.o wrt_tides.fppized.o mod_arrays.fppized.o mod_nesting.fppized.o esmf_roms.fppized.o master.fppized.o -o sroms close_io.fppized.f90:1262:60: warning: type of ‘nf90_strerror’ does not match original declaration [-Wlto-type-mismatch] 1262 | IF (Master) WRITE (stdout,70) nf90_strerror(ioerror) | ^ mod_netcdf.fppized.f90:3194:37: note: type mismatch in parameter 1 3194 | integer function nf90_strerror() | ^ mod_netcdf.fppized.f90:3194:37: note: ‘nf90_strerror’ was previously declared here def_dim.fppized.f90:1242:64: warning: type of ‘nf90_def_dim’ does not match original declaration [-Wlto-type-mismatch] 1242 | status=nf90_def_dim(ncid, TRIM(DimName), DimSize, DimId) | ^ mod_netcdf.fppized.f90:3191:36: note: type mismatch in parameter 1 3191 | integer function nf90_def_dim() | ^ mod_netcdf.fppized.f90:3191:36: note: ‘nf90_def_dim’ was previously declared here def_var.fppized.f90:1324:49: warning: type of ‘nf90_put_att’ does not match original declaration [-Wlto-type-mismatch] 1324 | & Vinfo(2)(1:latt)) | ^ mod_netcdf.fppized.f90:3185:36: note: type mismatch in parameter 1 3185 | integer function nf90_put_att() | ^ mod_netcdf.fppized.f90:3185:36: note: ‘nf90_put_att’ was previously declared here def_var.fppized.f90:1302:53: warning: type of ‘nf90_def_var’ does not match original declaration [-Wlto-type-mismatch] 1302 | Vdim(1:nVdim), Vid) | ^ mod_netcdf.fppized.f90:3182:36: note: type mismatch in parameter 1 3182 | integer function nf90_def_var() | ^ mod_netcdf.fppized.f90:3182:36: note: ‘nf90_def_var’ was previously declared here nf_fwrite2d.fppized.f90:1337:62: warning: type of ‘nf90_put_var’ does not match original declaration [-Wlto-type-mismatch] 1337 | status=nf90_put_var(ncid, ncvarid, Aout, start, total) | ^ mod_netcdf.fppized.f90:3179:36: note: type mismatch in parameter 1 3179 | integer function nf90_put_var() | ^ mod_netcdf.fppized.f90:3179:36: note: ‘nf90_put_var’ was previously declared here nf_fread3d.fppized.f90:1401:63: warning: type of ‘nf90_get_var’ does not match original declaration [-Wlto-type-mismatch] 1401 | status=nf90_get_var(ncid, ncvarid, wrk, start, total) | ^ mod_netcdf.fppized.f90:3176:36: note: type mismatch in parameter 1 3176 | integer function nf90_get_var() | ^ mod_netcdf.fppized.f90:3176:36: note: ‘nf90_get_var’ was previously declared here lto-wrapper: warning: using serial compilation of 11 LTRANS jobs lto-wrapper: note: see the ]8;;https://gcc.gnu.org/onlinedocs/gcc/Optimize-Options.html#index-flto‘-flto’ option documentation]8;; for more information Stop make command: 2021-07-08 15:45:50 (1625773550.6102) Elapsed time for make command: 00:00:47 (47.0866649150848) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:45:50 (1625773550.61334) FPP: "specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I." O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:45:50 (1625773550.7752) Elapsed time for options command: 00:00:00 (0.161861896514893) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:45:50 (1625773550.77873) FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:45:50 (1625773550.94854) Elapsed time for compiler-version command: 00:00:00 (0.169809103012085) Compile for '654.roms_s' ended at: 2021-07-08 15:45:50 (1625773550) Elapsed compile for '654.roms_s': 00:00:47 (47) FPP: "specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I." O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) ------------------------------------------------------------------------ When checking options for /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/exe/specrand_fs_peak.x86_64-m64, no checksums were found in the config file. They will be installed after build. When checking executables (/notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/exe/specrand_fs_peak.x86_64-m64), no checksums were found in the config file. They will be installed after build. Building 996.specrand_fs peak x86_64-m64: (build_peak_x86_64-m64.0000) [2021-07-08 15:45:51] Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/build/build_peak_x86_64-m64.0000/Makefile.deps': # End dependencies # These are the build dependencies Wrote to makefile '/notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/build/build_peak_x86_64-m64.0000/Makefile.spec': TUNE=peak LABEL=x86_64-m64 NUMBER=996 NAME=specrand_fs SOURCES= main.c specrand-common/specrand.c EXEBASE=specrand_fs NEED_MATH= BENCHLANG=C BENCH_FLAGS = -Ispecrand-common CC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon CC_VERSION_OPTION = -v CXX = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon CXX_VERSION_OPTION = -v EXTRA_OPTIMIZE = -fopenmp -DSPEC_OPENMP EXTRA_PORTABILITY = -DSPEC_LP64 FC = /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch FC_VERSION_OPTION = -v OPTIMIZE = -Ofast -mtune=corei7 -march=core-avx2 -flto OS = unix SPECLANG = /usr/bin/ absolutely_no_locking = 0 abstol = action = build allow_label_override = 0 backup_config = 1 baseexe = specrand_fs basepeak = 0 benchdir = benchspec benchmark = 996.specrand_fs binary = bindir = exe builddir = build bundleaction = bundlename = calctol = 0 changedhash = 0 check_version = 0 clean_between_builds = no command_add_redirect = 1 commanderrfile = speccmds.err commandexe = specrand_fs_peak.x86_64-m64 commandfile = speccmds.cmd commandoutfile = speccmds.out commandstdoutfile = speccmds.stdout comparedir = compare compareerrfile = compare.err comparefile = compare.cmd compareoutfile = compare.out comparestdoutfile = compare.stdout compile_error = 0 compwhite = configdir = config configfile = spec.cfg configpath = /notnfs/vmakarov/spec2017/config/spec.cfg copies = 1 current_range = datadir = data default_size = ref default_submit = $command delay = 0 deletebinaries = 0 deletework = 0 dependent_workloads = 0 device = difflines = 10 dirprot = 511 discard_power_samples = 0 enable_monitor = 1 endian = 12345678 env_vars = 0 expand_notes = 0 expid = exthash_bits = 256 failflags = 0 fake = 0 feedback = 1 flag_url_base = https://www.spec.org/auto/cpu2017/Docs/benchmarks/flags/ floatcompare = 1 force_monitor = 0 from_runcpu = 2 fw_bios = hostname = ton8 http_proxy = http_timeout = 30 hw_avail = Now hw_cpu_max_mhz = hw_cpu_name = Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz hw_cpu_nominal_mhz = 4700.156 hw_disk = 192 GB add more disk info here hw_memory001 = 16300620 kB hw_memory002 = 'N GB (M x N GB nRxn PCn-nnnnnR-n, ECC)' hw_model = hw_nchips = 1 hw_ncores = 6 hw_ncpuorder = hw_nthreadspercore = hw_ocache = hw_other = hw_pcache = hw_scache = 12288 KB hw_tcache = hw_vendor = idle_current_range = idledelay = 10 idleduration = 60 ignore_errors = 1 ignore_sigint = 0 ignorecase = info_wrap_columns = 50 inputdir = input inputgenerrfile = inputgen.err inputgenfile = inputgen.cmd inputgenoutfile = inputgen.out inputgenstdoutfile = inputgen.stdout iteration = -1 iterations = 3 keeptmp = 0 label = x86_64-m64 license_num = 0002991 line_width = 1020 link_input_files = 1 locking = 1 log = CPU2017 log_line_width = 1020 log_timestamp = 0 logfile = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 logname = /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 lognum = 043.1 mail_reports = all mailcompress = 0 mailmethod = smtp mailport = 25 mailserver = 127.0.0.1 mailto = make = specmake make_no_clobber = 0 makefile_template = Makefile.YYYtArGeTYYYspec makeflags = --jobs=1 max_average_uncertainty = 1 max_hum_limit = 0 max_report_runs = 3 max_unknown_uncertainty = 1 mean_anyway = 1 meter_connect_timeout = 30 meter_errors_default = 5 meter_errors_percentage = 5 min_report_runs = 2 min_temp_limit = 20 minimize_builddirs = 0 minimize_rundirs = 0 name = specrand_fs nansupport = 0 need_math = no_input_handler = close no_monitor = noratios = 0 note_preenv = 1 notes_plat_sysinfo_000 = Sysinfo program /notnfs/vmakarov/spec2017/bin/sysinfo notes_plat_sysinfo_005 = Rev: r5797 of 2017-06-14 96c45e4568ad54c135fd618bcc091c0f notes_plat_sysinfo_010 = running on ton8 Thu Jul 8 15:16:38 2021 notes_plat_sysinfo_015 = notes_plat_sysinfo_020 = SUT (System Under Test) info as seen by some common utilities. notes_plat_sysinfo_025 = For more information on this section, see notes_plat_sysinfo_030 = https://www.spec.org/cpu2017/Docs/config.html\#sysinfo notes_plat_sysinfo_035 = notes_plat_sysinfo_040 = From /proc/cpuinfo notes_plat_sysinfo_045 = model name : Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_050 = 1 "physical id"s (chips) notes_plat_sysinfo_055 = 6 "processors" notes_plat_sysinfo_060 = cores, siblings (Caution: counting these is hw and system dependent. The following notes_plat_sysinfo_065 = excerpts from /proc/cpuinfo might not be reliable. Use with caution.) notes_plat_sysinfo_070 = cpu cores : 6 notes_plat_sysinfo_075 = siblings : 6 notes_plat_sysinfo_080 = physical 0: cores 0 1 2 3 4 5 notes_plat_sysinfo_085 = notes_plat_sysinfo_090 = From lscpu: notes_plat_sysinfo_095 = Architecture: x86_64 notes_plat_sysinfo_100 = CPU op-mode(s): 32-bit, 64-bit notes_plat_sysinfo_105 = Byte Order: Little Endian notes_plat_sysinfo_110 = CPU(s): 6 notes_plat_sysinfo_115 = On-line CPU(s) list: 0-5 notes_plat_sysinfo_120 = Thread(s) per core: 1 notes_plat_sysinfo_125 = Core(s) per socket: 6 notes_plat_sysinfo_130 = Socket(s): 1 notes_plat_sysinfo_135 = NUMA node(s): 1 notes_plat_sysinfo_140 = Vendor ID: GenuineIntel notes_plat_sysinfo_145 = CPU family: 6 notes_plat_sysinfo_150 = Model: 158 notes_plat_sysinfo_155 = Model name: Intel(R) Core(TM) i7-8700K CPU @ 3.70GHz notes_plat_sysinfo_160 = Stepping: 10 notes_plat_sysinfo_165 = CPU MHz: 4700.008 notes_plat_sysinfo_170 = CPU max MHz: 4700.0000 notes_plat_sysinfo_175 = CPU min MHz: 800.0000 notes_plat_sysinfo_180 = BogoMIPS: 7392.00 notes_plat_sysinfo_185 = Virtualization: VT-x notes_plat_sysinfo_190 = L1d cache: 32K notes_plat_sysinfo_195 = L1i cache: 32K notes_plat_sysinfo_200 = L2 cache: 256K notes_plat_sysinfo_205 = L3 cache: 12288K notes_plat_sysinfo_210 = NUMA node0 CPU(s): 0-5 notes_plat_sysinfo_215 = Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov notes_plat_sysinfo_220 = pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp notes_plat_sysinfo_225 = lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid notes_plat_sysinfo_230 = aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 notes_plat_sysinfo_235 = sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer notes_plat_sysinfo_240 = aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault invpcid_single pti notes_plat_sysinfo_245 = ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle notes_plat_sysinfo_250 = avx2 smep bmi2 erms invpcid rtm mpx rdseed adx smap clflushopt intel_pt xsaveopt notes_plat_sysinfo_255 = xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp notes_plat_sysinfo_260 = notes_plat_sysinfo_265 = /proc/cpuinfo cache data notes_plat_sysinfo_270 = cache size : 12288 KB notes_plat_sysinfo_275 = notes_plat_sysinfo_280 = From numactl --hardware WARNING: a numactl 'node' might or might not correspond to a notes_plat_sysinfo_285 = physical chip. notes_plat_sysinfo_290 = notes_plat_sysinfo_295 = From /proc/meminfo notes_plat_sysinfo_300 = MemTotal: 16300620 kB notes_plat_sysinfo_305 = HugePages_Total: 0 notes_plat_sysinfo_310 = Hugepagesize: 2048 kB notes_plat_sysinfo_315 = notes_plat_sysinfo_320 = From /etc/*release* /etc/*version* notes_plat_sysinfo_325 = fedora-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_330 = os-release: notes_plat_sysinfo_335 = NAME=Fedora notes_plat_sysinfo_340 = VERSION="28 (Workstation Edition)" notes_plat_sysinfo_345 = ID=fedora notes_plat_sysinfo_350 = VERSION_ID=28 notes_plat_sysinfo_355 = PLATFORM_ID="platform:f28" notes_plat_sysinfo_360 = PRETTY_NAME="Fedora 28 (Workstation Edition)" notes_plat_sysinfo_365 = ANSI_COLOR="0;34" notes_plat_sysinfo_370 = CPE_NAME="cpe:/o:fedoraproject:fedora:28" notes_plat_sysinfo_375 = redhat-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_380 = system-release: Fedora release 28 (Twenty Eight) notes_plat_sysinfo_385 = system-release-cpe: cpe:/o:fedoraproject:fedora:28 notes_plat_sysinfo_390 = notes_plat_sysinfo_395 = uname -a: notes_plat_sysinfo_400 = Linux ton8 4.17.3-200.fc28.x86_64 \#1 SMP Tue Jun 26 14:17:07 UTC 2018 x86_64 x86_64 notes_plat_sysinfo_405 = x86_64 GNU/Linux notes_plat_sysinfo_410 = notes_plat_sysinfo_415 = notes_plat_sysinfo_420 = SPEC is set to: /notnfs/vmakarov/spec2017 notes_plat_sysinfo_425 = Filesystem Type Size Used Avail Use% Mounted on notes_plat_sysinfo_430 = /dev/mapper/fedora-home ext4 192G 47G 136G 26% /notnfs notes_plat_sysinfo_435 = notes_plat_sysinfo_440 = Additional information from dmidecode follows. WARNING: Use caution when you interpret notes_plat_sysinfo_445 = this section. The 'dmidecode' program reads system data which is "intended to allow notes_plat_sysinfo_450 = hardware to be accurately determined", but the intent may not be met, as there are notes_plat_sysinfo_455 = frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard. notes_plat_sysinfo_460 = notes_plat_sysinfo_465 = (End of data from sysinfo program) notes_wrap_columns = 0 notes_wrap_indent = num = 996 obiwan = oldhash = os_exe_ext = output_format = txt,html,cfg,pdf,csv output_root = outputdir = output parallel_test = 0 parallel_test_submit = 0 parallel_test_workloads = path = /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs plain_train = 1 platform = power = 0 preENV_LD_LIBRARY_PATH = %{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64 preENV_OMP_STACKSIZE = 120M preenv = 0 prefix = prepared_by = Vladimir Makarov ranks = 1 rawhash_bits = 256 rebuild = 0 reftime = reftime reltol = reportable = 0 resultdir = result review = 0 run = all runcpu = /notnfs/vmakarov/spec2017/bin/harness/runcpu --action build --tune peak --configfile spec.cfg --unbuffer --noreportable --nopower --runmode speed --tune peak --size refspeed fpspeed --nopreenv --note-preenv --logfile /notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1 --lognum 043.1 --from_runcpu 2 rundir = run runmode = speed safe_eval = 1 save_build_files = section_specifier_fatal = 1 setprocgroup = 1 setup_error = 0 sigint = 2 size = refspeed size_class = ref skipabstol = skipobiwan = skipreltol = skiptol = smarttune = peak specdiff = specdiff specrun = specinvoke srcalt = srcdir = src srcsource = /notnfs/vmakarov/spec2017/benchspec/CPU/999.specrand_ir/src stagger = 10 strict_rundir_verify = 1 sw_avail = sw_base_ptrsize = 64-bit sw_compiler001 = gcc version 12.0.0 20210708 (experimental) (GCC) sw_file = ext4 sw_os001 = Linux 4.17.3-200.fc28.x86_64 sw_os002 = 4.17.3-200.fc28.x86_64 sw_other = sw_peak_ptrsize = 64-bit sw_state = multiuser sysinfo_hash_bits = 256 sysinfo_program = specperl /notnfs/vmakarov/spec2017/bin/sysinfo sysinfo_program_hash = e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 table = 1 teeout = 0 test_date = Jul-2021 test_sponsor = Red Hat, Inc. tester = Vladimir Makarov threads = 4 top = /notnfs/vmakarov/spec2017 train_single_thread = 0 train_with = train tune = peak uid = 2631 unbuffer = 1 uncertainty_exception = 5 update = 0 update_url = http://www.spec.org/auto/cpu2017/updates/ use_submit_for_compare = 0 use_submit_for_speed = 0 username = vmakarov verbose = 5 verify_binaries = 1 version = 0.903000 version_url = http://www.spec.org/auto/cpu2017/devel_version voltage_range = worklist = list OUTPUT_RMFILES = rand.11.out Compile for '996.specrand_fs' started at: 2021-07-08 15:45:51 (1625773551) Issuing make.clean command 'specmake --output-sync --jobs=1 clean' Start make.clean command: 2021-07-08 15:45:51 (1625773551.37373) rm -rf *.o rand.11.out find . \( -name \*.o -o -name '*.fppized.f*' -o -name '*.i' -o -name '*.mod' \) -print | xargs rm -rf rm -rf specrand_fs rm -rf specrand_fs.exe rm -rf core Stop make.clean command: 2021-07-08 15:45:51 (1625773551.53492) Elapsed time for make.clean command: 00:00:00 (0.161184072494507) Issuing make command 'specmake --output-sync --jobs=1 build' Start make command: 2021-07-08 15:45:51 (1625773551.5379) /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o main.o -DSPEC -DNDEBUG -Ispecrand-common -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 main.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o specrand-common/specrand.o -DSPEC -DNDEBUG -Ispecrand-common -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 specrand-common/specrand.c /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP main.o specrand-common/specrand.o -o specrand_fs Stop make command: 2021-07-08 15:45:51 (1625773551.79598) Elapsed time for make command: 00:00:00 (0.258075952529907) Issuing options command 'specmake --output-sync --jobs=1 options' Start options command: 2021-07-08 15:45:51 (1625773551.79889) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand-common -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand-common" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" Stop options command: 2021-07-08 15:45:51 (1625773551.95953) Elapsed time for options command: 00:00:00 (0.160636186599731) Issuing compiler-version command 'specmake --output-sync --jobs=1 compiler-version' Start compiler-version command: 2021-07-08 15:45:51 (1625773551.96284) CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Stop compiler-version command: 2021-07-08 15:45:52 (1625773552.13084) Elapsed time for compiler-version command: 00:00:00 (0.167999982833862) Compile for '996.specrand_fs' ended at: 2021-07-08 15:45:52 (1625773552) Elapsed compile for '996.specrand_fs': 00:00:01 (1) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand-common -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand-common" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Build successes for fpspeed: 603.bwaves_s(peak), 607.cactuBSSN_s(peak), 619.lbm_s(peak), 621.wrf_s(peak), 627.cam4_s(peak), 628.pop2_s(peak), 638.imagick_s(peak), 644.nab_s(peak), 649.fotonik3d_s(peak), 654.roms_s(peak), 996.specrand_fs(peak) Build errors for fpspeed: None Build Complete runcpu finished at 2021-07-08 15:45:52; 1754 total seconds elapsed Info: file_tail(3296): file '/notnfs/vmakarov/spec2017/tmp/CPU2017.043/templogs/preenv.fpspeed.043.1.debug' was removed and will no longer be followed. No action is required. The log for this run is in /notnfs/vmakarov/spec2017/result/CPU2017.043.log runcpu finished at 2021-07-08 15:45:52; 2407 total seconds elapsed runcpu v5749 started at 2021-07-08 15:45:52 on "ton8" runcpu is: /notnfs/vmakarov/spec2017/bin/harness/runcpu runcpu: runcpu -I -T all -d -c spec.cfg -f intspeed fpspeed toolset: linux-x86_64 Locating benchmarks...found 47 benchmarks in 53 benchsets. Reading config file '/notnfs/vmakarov/spec2017/config/spec.cfg' 2 configurations selected: Action Run Mode Workload Report Type Benchmarks -------- -------- -------- ----------------- -------------------------- validate speed refspeed SPECspeed2017_int intspeed validate speed refspeed SPECspeed2017_fp fpspeed ------------------------------------------------------------------------------- Setting up environment for running intspeed... Starting runcpu for intspeed... Running "specperl /notnfs/vmakarov/spec2017/bin/sysinfo" to gather system information. sysinfo: r5797 of 2017-06-14 (96c45e4568ad54c135fd618bcc091c0f) sysinfo: Getting system information for Linux... sysinfo: ...getting CPU info sysinfo: ...getting info from numactl sysinfo: ...getting memory info sysinfo: ...getting OS info sysinfo: ...getting disk info sysinfo: ...trying to get DIMM info from dmidecode Retrieving flags file (/notnfs/vmakarov/spec2017/config/flags/gcc.xml)... Verbosity = 5 Action = validate Tune = base,peak Label = x86_64-m64 Size = refspeed benchmarks= 600.perlbench_s,602.gcc_s,605.mcf_s,620.omnetpp_s,623.xalancbmk_s,625.x264_s,631.deepsjeng_s,641.leela_s,648.exchange2_s,657.xz_s,998.specrand_is outputs = txt,html,cfg,pdf,csv username = vmakarov Environment settings: LD_LIBRARY_PATH = "%{ENV_LD_LIBRARY_PATH}:%{gcc_dir}/lib64/:%{gcc_dir}/lib/:/lib64" Benchmarks selected: 600.perlbench_s, 602.gcc_s, 605.mcf_s, 620.omnetpp_s, 623.xalancbmk_s, 625.x264_s, 631.deepsjeng_s, 641.leela_s, 648.exchange2_s, 657.xz_s, 998.specrand_is Compiling Binaries ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX_X64" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_LINUX_X64" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 600.perlbench_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 602.gcc_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 605.mcf_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 620.omnetpp_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_LINUX" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 623.xalancbmk_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 625.x264_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DBIG_MEMORY -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DBIG_MEMORY" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 631.deepsjeng_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CXXFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 641.leela_s base x86_64-m64 ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 648.exchange2_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 657.xz_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand-common -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand-common" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 998.specrand_is base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DPERL_CORE -I. -Idist/IO -Icpan/Time-HiRes -Icpan/HTML-Parser -Iext/re -Ispecrand -DDOUBLE_SLASHES_SPECIAL=0 -D_LARGE_FILES -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX_X64" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX_X64 -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: PORTABILITY="-DSPEC_LINUX_X64" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 600.perlbench_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./include -I./spec_qsort -DSPEC_602 -DIN_GCC -DHAVE_CONFIG_H" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 602.gcc_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Ispec_qsort -DSPEC_AUTO_SUPPRESS_OPENMP" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 605.mcf_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Isimulator/platdep -Isimulator -Imodel -DWITH_NETBUILDER" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 620.omnetpp_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-DAPP_NO_THREADS -DXALAN_INMEM_MSG_LOADER -I. -Ixercesc -Ixercesc/dom -Ixercesc/dom/impl -Ixercesc/sax -Ixercesc/util/MsgLoaders/InMemory -Ixercesc/util/Transcoders/Iconv -Ixalanc/include -DPROJ_XMLPARSER -DPROJ_XMLUTIL -DPROJ_PARSERS -DPROJ_SAX4C -DPROJ_SAX2 -DPROJ_DOM -DPROJ_VALIDATORS -DXML_USE_INMEM_MESSAGELOADER" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="-DSPEC_LINUX" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_LINUX -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: PORTABILITY="-DSPEC_LINUX" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 623.xalancbmk_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ildecod_src/inc -Ix264_src -Ix264_src/extras -Ix264_src/common -DSPEC_AUTO_BYTEORDER=0x12345678" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 625.x264_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -DBIG_MEMORY -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DBIG_MEMORY" P: BENCH_CXXFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 631.deepsjeng_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CXXFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 641.leela_s peak x86_64-m64 ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 648.exchange2_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678 -DHAVE_CONFIG_H=1 -DSPEC_MEM_IO -DSPEC_XZ -I. -Ispec_mem_io -Isha-2 -Icommon -Iliblzma/api -Iliblzma/lzma -Iliblzma/common -Iliblzma/check -Iliblzma/simple -Iliblzma/delta -Iliblzma/lz -Iliblzma/rangecoder" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 657.xz_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand-common -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand-common" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -z muldefs -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fgnu89-inline -fno-strict-aliasing -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: LDCFLAGS="-z muldefs" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="-fgnu89-inline -fno-strict-aliasing" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 998.specrand_is peak x86_64-m64 Setting Up Run Directories Setting up 600.perlbench_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 602.gcc_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 605.mcf_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 620.omnetpp_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 623.xalancbmk_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 625.x264_s refspeed (ref) base x86_64-m64: OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -f inputgen.cmd -e inputgen.err -o inputgen.stdout' Start command: 2021-07-08 15:46:05 (1625773565.40507) Stop command: 2021-07-08 15:46:17 (1625773577.518) Elapsed time for command: 00:00:12 (12.1129291057587) Input generation total elapsed time = 12 seconds Input generation elapsed time (0:1) = 11.955702 seconds run_base_refspeed_x86_64-m64.0000 Setting up 631.deepsjeng_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 641.leela_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 648.exchange2_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 657.xz_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 998.specrand_is refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 600.perlbench_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 602.gcc_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 605.mcf_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 620.omnetpp_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 623.xalancbmk_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 625.x264_s refspeed (ref) peak x86_64-m64: OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -f inputgen.cmd -e inputgen.err -o inputgen.stdout' Start command: 2021-07-08 15:46:23 (1625773583.16019) Stop command: 2021-07-08 15:46:34 (1625773594.33306) Elapsed time for command: 00:00:11 (11.1728708744049) Input generation total elapsed time = 11 seconds Input generation elapsed time (0:1) = 11.015519 seconds run_peak_refspeed_x86_64-m64.0000 Setting up 631.deepsjeng_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 641.leela_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 648.exchange2_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 657.xz_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 998.specrand_is refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 ----------------------------------- Running Benchmarks ----------------------------------- Running (#1) 600.perlbench_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 15:46:39] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -o checkspam.2500.5.25.11.150.1.1.1.1.out -e checkspam.2500.5.25.11.150.1.1.1.1.err ../run_base_refspeed_x86_64-m64.0000/perlbench_s_base.x86_64-m64 -I./lib checkspam.pl 2500 5 25 11 150 1 1 1 1 > checkspam.2500.5.25.11.150.1.1.1.1.out 2>> checkspam.2500.5.25.11.150.1.1.1.1.err (timed) -o diffmail.4.800.10.17.19.300.out -e diffmail.4.800.10.17.19.300.err ../run_base_refspeed_x86_64-m64.0000/perlbench_s_base.x86_64-m64 -I./lib diffmail.pl 4 800 10 17 19 300 > diffmail.4.800.10.17.19.300.out 2>> diffmail.4.800.10.17.19.300.err (timed) -o splitmail.6400.12.26.16.100.0.out -e splitmail.6400.12.26.16.100.0.err ../run_base_refspeed_x86_64-m64.0000/perlbench_s_base.x86_64-m64 -I./lib splitmail.pl 6400 12 26 16 100 0 > splitmail.6400.12.26.16.100.0.out 2>> splitmail.6400.12.26.16.100.0.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 15:46:39 (1625773599.16649) Stop command: 2021-07-08 15:50:31 (1625773831.16682) Elapsed time for command: 00:03:52 (232.000331878662) Workload elapsed time (copy 0 workload 1) = 103.137719 seconds Workload elapsed time (copy 0 workload 2) = 61.14364 seconds Workload elapsed time (copy 0 workload 3) = 67.561652 seconds Copy 0 of 600.perlbench_s (base refspeed) run 1 finished at 2021-07-08 15:50:31. Total elapsed time: 231.843011 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'checkspam.2500.5.25.11.150.1.1.1.1.out' with floatcompare=1, nansupport=no comparing 'diffmail.4.800.10.17.19.300.out' with floatcompare=1, nansupport=no comparing 'splitmail.6400.12.26.16.100.0.out' with floatcompare=1, nansupport=no Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 15:50:31 (1625773831.23557) Stop command: 2021-07-08 15:50:31 (1625773831.86121) Elapsed time for command: 00:00:00 (0.625638008117676) Benchmark Times: Run Start: 2021-07-08 15:46:39 (1625773599) Run Stop: 2021-07-08 15:50:31 (1625773831) Run Elapsed: 00:03:52 (232) Run Reported: 00:03:51 (231 843099000 231.843099) Success 600.perlbench_s base refspeed ratio=7.66, runtime=231.843099, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 602.gcc_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 15:50:31] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -o gcc-pp.opts-O5_-fipa-pta.out -e gcc-pp.opts-O5_-fipa-pta.err ../run_base_refspeed_x86_64-m64.0000/sgcc_base.x86_64-m64 gcc-pp.c -O5 -fipa-pta -o gcc-pp.opts-O5_-fipa-pta.s > gcc-pp.opts-O5_-fipa-pta.out 2>> gcc-pp.opts-O5_-fipa-pta.err (timed) -o gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.out -e gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.err ../run_base_refspeed_x86_64-m64.0000/sgcc_base.x86_64-m64 gcc-pp.c -O5 -finline-limit=1000 -fselective-scheduling -fselective-scheduling2 -o gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.s > gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.out 2>> gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.err (timed) -o gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.out -e gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.err ../run_base_refspeed_x86_64-m64.0000/sgcc_base.x86_64-m64 gcc-pp.c -O5 -finline-limit=24000 -fgcse -fgcse-las -fgcse-lm -fgcse-sm -o gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.s > gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.out 2>> gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 15:50:31 (1625773831.98004) Stop command: 2021-07-08 15:56:45 (1625774205.007) Elapsed time for command: 00:06:13 (373.026957035065) Workload elapsed time (copy 0 workload 1) = 220.739865 seconds Workload elapsed time (copy 0 workload 2) = 77.268722 seconds Workload elapsed time (copy 0 workload 3) = 74.860863 seconds Copy 0 of 602.gcc_s (base refspeed) run 1 finished at 2021-07-08 15:56:44. Total elapsed time: 372.86945 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.s' with floatcompare=1, nansupport=no comparing 'gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.s' with floatcompare=1, nansupport=no comparing 'gcc-pp.opts-O5_-fipa-pta.s' with floatcompare=1, nansupport=no Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 15:56:45 (1625774205.07514) Stop command: 2021-07-08 15:56:57 (1625774217.18198) Elapsed time for command: 00:00:12 (12.106840133667) Benchmark Times: Run Start: 2021-07-08 15:50:31 (1625773831) Run Stop: 2021-07-08 15:56:45 (1625774205) Run Elapsed: 00:06:14 (374) Run Reported: 00:06:12 (372 869543000 372.869543) Success 602.gcc_s base refspeed ratio=10.68, runtime=372.869543, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 605.mcf_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 15:56:57] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -o inp.out -e inp.err ../run_base_refspeed_x86_64-m64.0000/mcf_s_base.x86_64-m64 inp.in > inp.out 2>> inp.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 15:56:57 (1625774217.21054) Stop command: 2021-07-08 16:05:54 (1625774754.66217) Elapsed time for command: 00:08:57 (537.4516248703) Workload elapsed time (copy 0 workload 1) = 537.294222 seconds Copy 0 of 605.mcf_s (base refspeed) run 1 finished at 2021-07-08 16:05:54. Total elapsed time: 537.294222 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'inp.out' with comparing 'mcf.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 16:05:54 (1625774754.73756) Stop command: 2021-07-08 16:05:55 (1625774755.05416) Elapsed time for command: 00:00:00 (0.316595077514648) Benchmark Times: Run Start: 2021-07-08 15:56:57 (1625774217) Run Stop: 2021-07-08 16:05:54 (1625774754) Run Elapsed: 00:08:57 (537) Run Reported: 00:08:57 (537 294271000 537.294271) Success 605.mcf_s base refspeed ratio=8.79, runtime=537.294271, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 620.omnetpp_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 16:05:55] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_base_refspeed_x86_64-m64.0000 -o omnetpp.General-0.out -e omnetpp.General-0.err ../run_base_refspeed_x86_64-m64.0000/omnetpp_s_base.x86_64-m64 -c General -r 0 > omnetpp.General-0.out 2>> omnetpp.General-0.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 16:05:55 (1625774755.09544) Stop command: 2021-07-08 16:05:55 (1625774755.25849) Elapsed time for command: 00:00:00 (0.163044929504395) 620.omnetpp_s: copy 0 non-zero return code (exit code=1, signal=0) **************************************** Contents of omnetpp.General-0.err **************************************** Error during startup: Register_Function() or cMathFunction: attempt to register function "SPEC_HYPOT" with wrong number of arguments 2, should be 3. **************************************** **************************************** Contents of speccmds.out **************************************** specinvoke r4356 Invoked as: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout timer ticks over every 1000 ns Environment in effect for these runs: # 1: OLDPWD=/notnfs/vmakarov/perf/scripts # 2: SPEC=/notnfs/vmakarov/spec2017 # 3: SHLVL=4 # 4: SPECPERLLIB=/notnfs/vmakarov/spec2017/bin/lib:/notnfs/vmakarov/spec2017/bin # 5: DBUS_SESSION_BUS_ADDRESS=unix:path=/run/user/2631/bus # 6: LOGNAME=vmakarov # 7: XDG_RUNTIME_DIR=/run/user/2631 # 8: XDG_SESSION_ID=140 # 9: SPECDB_PWD=/notnfs/vmakarov/spec2017 #10: LD_LIBRARY_PATH=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/lib64:/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/lib::/usr/lib64/:/usr/lib/:/lib64 #11: LANG=en_US.UTF-8 #12: PWD=/notnfs/vmakarov/spec2017 #13: PATH=/notnfs/vmakarov/spec2017/bin:/usr/bin:/bin #14: USER=vmakarov #15: MAILTO=vmakarov@redhat.com #16: SSH_AUTH_SOCK=/tmp/ssh-BzK8RD2adX7w/agent.2022 #17: HOME=/home/vmakarov #18: HOSTSUFFIX= #19: LIBC_FATAL_STDERR_=1 #20: SHELL=/bin/sh #21: SSH_AGENT_PID=2023 #22: OMP_NUM_THREADS=4 running commands in speccmds.cmd 1 times runs started at 1625774755, 097281000, Thu Jul 8 16:05:55 2021 run 1 started at 1625774755, 097294000, Thu Jul 8 16:05:55 2021 child started: 0, 1625774755, 097298000, pid=13629, '../run_base_refspeed_x86_64-m64.0000/omnetpp_s_base.x86_64-m64 -c General -r 0 > omnetpp.General-0.out 2>> omnetpp.General-0.err' child finished: 0, 1625774755, 103686000, sec=0, nsec=6388000, pid=13629, rc=256 run 1 finished at: 1625774755, 103753000, Thu Jul 8 16:05:55 2021 run 1 elapsed time: 0, 006459000, 0.006459000 runs finished at 1625774755, 103800000, Thu Jul 8 16:05:55 2021 runs elapsed time: 0, 006519000, 0.006519000 error: a total of 1 children finished with errors specinvoke exit: rc=0 **************************************** Workload elapsed time (copy 0 workload 1) = 0.006388 seconds Copy 0 of 620.omnetpp_s (base refspeed) run 1 finished at 2021-07-08 16:05:55. Total elapsed time: 0.006388 Benchmark Times: Run Start: 2021-07-08 16:05:55 (1625774755) Run Stop: 2021-07-08 16:05:55 (1625774755) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 6519000 0.006519) Error 620.omnetpp_s base refspeed ratio=250191.75, runtime=0.006519, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00%, errorcode=RE ----------------------------------- Running (#1) 623.xalancbmk_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 16:05:55] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -o ref-t5.out -e ref-t5.err ../run_base_refspeed_x86_64-m64.0000/xalancbmk_s_base.x86_64-m64 -v t5.xml xalanc.xsl > ref-t5.out 2>> ref-t5.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 16:05:55 (1625774755.37382) Stop command: 2021-07-08 16:09:59 (1625774999.21898) Elapsed time for command: 00:04:03 (243.845164060593) Workload elapsed time (copy 0 workload 1) = 243.687943 seconds Copy 0 of 623.xalancbmk_s (base refspeed) run 1 finished at 2021-07-08 16:09:59. Total elapsed time: 243.687943 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref-t5.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 16:09:59 (1625774999.29818) Stop command: 2021-07-08 16:09:59 (1625774999.66814) Elapsed time for command: 00:00:00 (0.369962930679321) Benchmark Times: Run Start: 2021-07-08 16:05:55 (1625774755) Run Stop: 2021-07-08 16:09:59 (1625774999) Run Elapsed: 00:04:04 (244) Run Reported: 00:04:03 (243 687994000 243.687994) Success 623.xalancbmk_s base refspeed ratio=5.81, runtime=243.687994, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 625.x264_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 16:09:59] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -o run_000-1000_x264_s_base.x86_64-m64_x264_pass1.out -e run_000-1000_x264_s_base.x86_64-m64_x264_pass1.err ../run_base_refspeed_x86_64-m64.0000/x264_s_base.x86_64-m64 --pass 1 --stats x264_stats.log --bitrate 1000 --frames 1000 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_000-1000_x264_s_base.x86_64-m64_x264_pass1.out 2>> run_000-1000_x264_s_base.x86_64-m64_x264_pass1.err (timed) -o run_000-1000_x264_s_base.x86_64-m64_x264_pass2.out -e run_000-1000_x264_s_base.x86_64-m64_x264_pass2.err ../run_base_refspeed_x86_64-m64.0000/x264_s_base.x86_64-m64 --pass 2 --stats x264_stats.log --bitrate 1000 --dumpyuv 200 --frames 1000 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_000-1000_x264_s_base.x86_64-m64_x264_pass2.out 2>> run_000-1000_x264_s_base.x86_64-m64_x264_pass2.err (timed) -o run_0500-1250_x264_s_base.x86_64-m64_x264.out -e run_0500-1250_x264_s_base.x86_64-m64_x264.err ../run_base_refspeed_x86_64-m64.0000/x264_s_base.x86_64-m64 --seek 500 --dumpyuv 200 --frames 1250 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_0500-1250_x264_s_base.x86_64-m64_x264.out 2>> run_0500-1250_x264_s_base.x86_64-m64_x264.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 16:09:59 (1625774999.7044) Stop command: 2021-07-08 16:15:12 (1625775312.16005) Elapsed time for command: 00:05:12 (312.455652952194) Workload elapsed time (copy 0 workload 1) = 38.605134 seconds Workload elapsed time (copy 0 workload 2) = 136.089312 seconds Workload elapsed time (copy 0 workload 3) = 137.603916 seconds Copy 0 of 625.x264_s (base refspeed) run 1 finished at 2021-07-08 16:15:12. Total elapsed time: 312.298362 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'imagevalidate_frame_1100.out' with reltol=0.085 comparing 'imagevalidate_frame_1249.out' with reltol=0.085 comparing 'imagevalidate_frame_200.out' with reltol=0.085 comparing 'imagevalidate_frame_400.out' with reltol=0.085 comparing 'imagevalidate_frame_600.out' with reltol=0.085 comparing 'imagevalidate_frame_700.out' with reltol=0.085 comparing 'imagevalidate_frame_800.out' with reltol=0.085 comparing 'imagevalidate_frame_900.out' with reltol=0.085 comparing 'imagevalidate_frame_999.out' with reltol=0.085 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 16:15:12 (1625775312.19472) Stop command: 2021-07-08 16:15:13 (1625775313.25618) Elapsed time for command: 00:00:01 (1.0614550113678) Benchmark Times: Run Start: 2021-07-08 16:09:59 (1625774999) Run Stop: 2021-07-08 16:15:12 (1625775312) Run Elapsed: 00:05:13 (313) Run Reported: 00:05:12 (312 298430000 312.29843) Success 625.x264_s base refspeed ratio=5.65, runtime=312.298430, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 631.deepsjeng_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 16:15:13] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -o ref.out -e ref.err ../run_base_refspeed_x86_64-m64.0000/deepsjeng_s_base.x86_64-m64 ref.txt > ref.out 2>> ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 16:15:13 (1625775313.28466) Stop command: 2021-07-08 16:20:10 (1625775610.75822) Elapsed time for command: 00:04:57 (297.473565101624) Workload elapsed time (copy 0 workload 1) = 297.316156 seconds Copy 0 of 631.deepsjeng_s (base refspeed) run 1 finished at 2021-07-08 16:20:10. Total elapsed time: 297.316156 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref.out' with obiwan=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 16:20:10 (1625775610.78716) Stop command: 2021-07-08 16:20:11 (1625775611.03061) Elapsed time for command: 00:00:00 (0.24344801902771) Benchmark Times: Run Start: 2021-07-08 16:15:13 (1625775313) Run Stop: 2021-07-08 16:20:10 (1625775610) Run Elapsed: 00:04:57 (297) Run Reported: 00:04:57 (297 316260000 297.31626) Success 631.deepsjeng_s base refspeed ratio=4.82, runtime=297.316260, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 641.leela_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 16:20:11] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -o ref.out -e ref.err ../run_base_refspeed_x86_64-m64.0000/leela_s_base.x86_64-m64 ref.sgf > ref.out 2>> ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 16:20:11 (1625775611.05903) Stop command: 2021-07-08 16:26:18 (1625775978.70446) Elapsed time for command: 00:06:07 (367.64542889595) Workload elapsed time (copy 0 workload 1) = 367.488009 seconds Copy 0 of 641.leela_s (base refspeed) run 1 finished at 2021-07-08 16:26:18. Total elapsed time: 367.488009 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 16:26:18 (1625775978.73868) Stop command: 2021-07-08 16:26:18 (1625775978.98012) Elapsed time for command: 00:00:00 (0.241439819335938) Benchmark Times: Run Start: 2021-07-08 16:20:11 (1625775611) Run Stop: 2021-07-08 16:26:18 (1625775978) Run Elapsed: 00:06:07 (367) Run Reported: 00:06:07 (367 488055000 367.488055) Success 641.leela_s base refspeed ratio=4.64, runtime=367.488055, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 648.exchange2_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 16:26:19] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -o exchange2.txt -e exchange2.err ../run_base_refspeed_x86_64-m64.0000/exchange2_s_base.x86_64-m64 6 > exchange2.txt 2>> exchange2.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 16:26:19 (1625775979.05575) Stop command: 2021-07-08 16:31:35 (1625776295.03442) Elapsed time for command: 00:05:15 (315.978666067123) Workload elapsed time (copy 0 workload 1) = 315.822492 seconds Copy 0 of 648.exchange2_s (base refspeed) run 1 finished at 2021-07-08 16:31:34. Total elapsed time: 315.822492 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 's.txt' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 16:31:35 (1625776295.0579) Stop command: 2021-07-08 16:31:35 (1625776295.2962) Elapsed time for command: 00:00:00 (0.238294124603271) Benchmark Times: Run Start: 2021-07-08 16:26:19 (1625775979) Run Stop: 2021-07-08 16:31:35 (1625776295) Run Elapsed: 00:05:16 (316) Run Reported: 00:05:15 (315 822541000 315.822541) Success 648.exchange2_s base refspeed ratio=9.31, runtime=315.822541, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 657.xz_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 16:31:35] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -o cpu2006docs.tar-6643-4.out -e cpu2006docs.tar-6643-4.err ../run_base_refspeed_x86_64-m64.0000/xz_s_base.x86_64-m64 cpu2006docs.tar.xz 6643 055ce243071129412e9dd0b3b69a21654033a9b723d874b2015c774fac1553d9713be561ca86f74e4f16f22e664fc17a79f30caa5ad2c04fbc447549c2810fae 1036078272 1111795472 4 > cpu2006docs.tar-6643-4.out 2>> cpu2006docs.tar-6643-4.err (timed) -o cld.tar-1400-8.out -e cld.tar-1400-8.err ../run_base_refspeed_x86_64-m64.0000/xz_s_base.x86_64-m64 cld.tar.xz 1400 19cf30ae51eddcbefda78dd06014b4b96281456e078ca7c13e1c0c9e6aaea8dff3efb4ad6b0456697718cede6bd5454852652806a657bb56e07d61128434b474 536995164 539938872 8 > cld.tar-1400-8.out 2>> cld.tar-1400-8.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 16:31:35 (1625776295.36584) Stop command: 2021-07-08 16:45:17 (1625777117.69227) Elapsed time for command: 00:13:42 (822.326424837112) Workload elapsed time (copy 0 workload 1) = 322.522633 seconds Workload elapsed time (copy 0 workload 2) = 499.635414 seconds Copy 0 of 657.xz_s (base refspeed) run 1 finished at 2021-07-08 16:45:17. Total elapsed time: 822.158047 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'cld.tar-1400-8.out' with comparing 'cpu2006docs.tar-6643-4.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 16:45:17 (1625777117.77907) Stop command: 2021-07-08 16:45:18 (1625777118.14713) Elapsed time for command: 00:00:00 (0.368054866790771) Benchmark Times: Run Start: 2021-07-08 16:31:35 (1625776295) Run Stop: 2021-07-08 16:45:17 (1625777117) Run Elapsed: 00:13:42 (822) Run Reported: 00:13:42 (822 159093000 822.159093) Success 657.xz_s base refspeed ratio=7.52, runtime=822.159093, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 998.specrand_is refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 16:45:18] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -o rand.234923.out -e rand.234923.err ../run_base_refspeed_x86_64-m64.0000/specrand_is_base.x86_64-m64 1255432124 234923 > rand.234923.out 2>> rand.234923.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 16:45:18 (1625777118.23946) Stop command: 2021-07-08 16:45:18 (1625777118.45355) Elapsed time for command: 00:00:00 (0.214088916778564) Workload elapsed time (copy 0 workload 1) = 0.057585 seconds Copy 0 of 998.specrand_is (base refspeed) run 1 finished at 2021-07-08 16:45:18. Total elapsed time: 0.057585 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'rand.234923.out' with floatcompare=1, nansupport=0 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 16:45:18 (1625777118.51975) Stop command: 2021-07-08 16:45:19 (1625777119.22279) Elapsed time for command: 00:00:00 (0.7030348777771) Benchmark Times: Run Start: 2021-07-08 16:45:18 (1625777118) Run Stop: 2021-07-08 16:45:18 (1625777118) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 57627000 0.057627) Success 998.specrand_is base refspeed ratio=173.53, runtime=0.057627, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 600.perlbench_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 16:45:19] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -o checkspam.2500.5.25.11.150.1.1.1.1.out -e checkspam.2500.5.25.11.150.1.1.1.1.err ../run_base_refspeed_x86_64-m64.0000/perlbench_s_base.x86_64-m64 -I./lib checkspam.pl 2500 5 25 11 150 1 1 1 1 > checkspam.2500.5.25.11.150.1.1.1.1.out 2>> checkspam.2500.5.25.11.150.1.1.1.1.err (timed) -o diffmail.4.800.10.17.19.300.out -e diffmail.4.800.10.17.19.300.err ../run_base_refspeed_x86_64-m64.0000/perlbench_s_base.x86_64-m64 -I./lib diffmail.pl 4 800 10 17 19 300 > diffmail.4.800.10.17.19.300.out 2>> diffmail.4.800.10.17.19.300.err (timed) -o splitmail.6400.12.26.16.100.0.out -e splitmail.6400.12.26.16.100.0.err ../run_base_refspeed_x86_64-m64.0000/perlbench_s_base.x86_64-m64 -I./lib splitmail.pl 6400 12 26 16 100 0 > splitmail.6400.12.26.16.100.0.out 2>> splitmail.6400.12.26.16.100.0.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 16:45:19 (1625777119.66446) Stop command: 2021-07-08 16:49:11 (1625777351.55782) Elapsed time for command: 00:03:51 (231.893354892731) Workload elapsed time (copy 0 workload 1) = 103.477402 seconds Workload elapsed time (copy 0 workload 2) = 59.940941 seconds Workload elapsed time (copy 0 workload 3) = 68.319124 seconds Copy 0 of 600.perlbench_s (base refspeed) run 2 finished at 2021-07-08 16:49:11. Total elapsed time: 231.737467 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'checkspam.2500.5.25.11.150.1.1.1.1.out' with floatcompare=1, nansupport=no comparing 'diffmail.4.800.10.17.19.300.out' with floatcompare=1, nansupport=no comparing 'splitmail.6400.12.26.16.100.0.out' with floatcompare=1, nansupport=no Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 16:49:11 (1625777351.58634) Stop command: 2021-07-08 16:49:12 (1625777352.20252) Elapsed time for command: 00:00:00 (0.616185903549194) Benchmark Times: Run Start: 2021-07-08 16:45:19 (1625777119) Run Stop: 2021-07-08 16:49:11 (1625777351) Run Elapsed: 00:03:52 (232) Run Reported: 00:03:51 (231 737548000 231.737548) Success 600.perlbench_s base refspeed ratio=7.66, runtime=231.737548, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 602.gcc_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 16:49:12] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -o gcc-pp.opts-O5_-fipa-pta.out -e gcc-pp.opts-O5_-fipa-pta.err ../run_base_refspeed_x86_64-m64.0000/sgcc_base.x86_64-m64 gcc-pp.c -O5 -fipa-pta -o gcc-pp.opts-O5_-fipa-pta.s > gcc-pp.opts-O5_-fipa-pta.out 2>> gcc-pp.opts-O5_-fipa-pta.err (timed) -o gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.out -e gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.err ../run_base_refspeed_x86_64-m64.0000/sgcc_base.x86_64-m64 gcc-pp.c -O5 -finline-limit=1000 -fselective-scheduling -fselective-scheduling2 -o gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.s > gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.out 2>> gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.err (timed) -o gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.out -e gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.err ../run_base_refspeed_x86_64-m64.0000/sgcc_base.x86_64-m64 gcc-pp.c -O5 -finline-limit=24000 -fgcse -fgcse-las -fgcse-lm -fgcse-sm -o gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.s > gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.out 2>> gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 16:49:12 (1625777352.32592) Stop command: 2021-07-08 16:55:26 (1625777726.1159) Elapsed time for command: 00:06:13 (373.789978027344) Workload elapsed time (copy 0 workload 1) = 221.217682 seconds Workload elapsed time (copy 0 workload 2) = 78.013346 seconds Workload elapsed time (copy 0 workload 3) = 74.402768 seconds Copy 0 of 602.gcc_s (base refspeed) run 2 finished at 2021-07-08 16:55:25. Total elapsed time: 373.633796 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.s' with floatcompare=1, nansupport=no comparing 'gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.s' with floatcompare=1, nansupport=no comparing 'gcc-pp.opts-O5_-fipa-pta.s' with floatcompare=1, nansupport=no Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 16:55:26 (1625777726.19616) Stop command: 2021-07-08 16:55:38 (1625777738.31676) Elapsed time for command: 00:00:12 (12.1206040382385) Benchmark Times: Run Start: 2021-07-08 16:49:12 (1625777352) Run Stop: 2021-07-08 16:55:26 (1625777726) Run Elapsed: 00:06:14 (374) Run Reported: 00:06:13 (373 633895000 373.633895) Success 602.gcc_s base refspeed ratio=10.66, runtime=373.633895, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 605.mcf_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 16:55:38] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -o inp.out -e inp.err ../run_base_refspeed_x86_64-m64.0000/mcf_s_base.x86_64-m64 inp.in > inp.out 2>> inp.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 16:55:38 (1625777738.34727) Stop command: 2021-07-08 17:04:34 (1625778274.62241) Elapsed time for command: 00:08:56 (536.275137901306) Workload elapsed time (copy 0 workload 1) = 536.118826 seconds Copy 0 of 605.mcf_s (base refspeed) run 2 finished at 2021-07-08 17:04:34. Total elapsed time: 536.118826 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'inp.out' with comparing 'mcf.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 17:04:34 (1625778274.64986) Stop command: 2021-07-08 17:04:34 (1625778274.96524) Elapsed time for command: 00:00:00 (0.315386056900024) Benchmark Times: Run Start: 2021-07-08 16:55:38 (1625777738) Run Stop: 2021-07-08 17:04:34 (1625778274) Run Elapsed: 00:08:56 (536) Run Reported: 00:08:56 (536 118877000 536.118877) Success 605.mcf_s base refspeed ratio=8.81, runtime=536.118877, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 620.omnetpp_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 17:04:35] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_base_refspeed_x86_64-m64.0000 -o omnetpp.General-0.out -e omnetpp.General-0.err ../run_base_refspeed_x86_64-m64.0000/omnetpp_s_base.x86_64-m64 -c General -r 0 > omnetpp.General-0.out 2>> omnetpp.General-0.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 17:04:35 (1625778275.05837) Stop command: 2021-07-08 17:04:35 (1625778275.22956) Elapsed time for command: 00:00:00 (0.171186923980713) 620.omnetpp_s: copy 0 non-zero return code (exit code=1, signal=0) **************************************** Contents of omnetpp.General-0.err **************************************** Error during startup: Register_Function() or cMathFunction: attempt to register function "SPEC_HYPOT" with wrong number of arguments 2, should be 3. **************************************** **************************************** Contents of speccmds.out **************************************** specinvoke r4356 Invoked as: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout timer ticks over every 1000 ns Environment in effect for these runs: # 1: HOSTSUFFIX= # 2: LIBC_FATAL_STDERR_=1 # 3: SHELL=/bin/sh # 4: SSH_AGENT_PID=2023 # 5: SPECDB_PWD=/notnfs/vmakarov/spec2017 # 6: LD_LIBRARY_PATH=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/lib64:/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/lib::/usr/lib64/:/usr/lib/:/lib64 # 7: LANG=en_US.UTF-8 # 8: PWD=/notnfs/vmakarov/spec2017 # 9: PATH=/notnfs/vmakarov/spec2017/bin:/usr/bin:/bin #10: USER=vmakarov #11: MAILTO=vmakarov@redhat.com #12: SSH_AUTH_SOCK=/tmp/ssh-BzK8RD2adX7w/agent.2022 #13: HOME=/home/vmakarov #14: LOGNAME=vmakarov #15: XDG_RUNTIME_DIR=/run/user/2631 #16: XDG_SESSION_ID=140 #17: SPEC=/notnfs/vmakarov/spec2017 #18: OLDPWD=/notnfs/vmakarov/perf/scripts #19: SPECPERLLIB=/notnfs/vmakarov/spec2017/bin/lib:/notnfs/vmakarov/spec2017/bin #20: SHLVL=4 #21: DBUS_SESSION_BUS_ADDRESS=unix:path=/run/user/2631/bus #22: OMP_NUM_THREADS=4 running commands in speccmds.cmd 1 times runs started at 1625778275, 059993000, Thu Jul 8 17:04:35 2021 run 1 started at 1625778275, 060005000, Thu Jul 8 17:04:35 2021 child started: 0, 1625778275, 060009000, pid=14073, '../run_base_refspeed_x86_64-m64.0000/omnetpp_s_base.x86_64-m64 -c General -r 0 > omnetpp.General-0.out 2>> omnetpp.General-0.err' child finished: 0, 1625778275, 075292000, sec=0, nsec=15283000, pid=14073, rc=256 run 1 finished at: 1625778275, 075357000, Thu Jul 8 17:04:35 2021 run 1 elapsed time: 0, 015352000, 0.015352000 runs finished at 1625778275, 075402000, Thu Jul 8 17:04:35 2021 runs elapsed time: 0, 015409000, 0.015409000 error: a total of 1 children finished with errors specinvoke exit: rc=0 **************************************** Workload elapsed time (copy 0 workload 1) = 0.015283 seconds Copy 0 of 620.omnetpp_s (base refspeed) run 2 finished at 2021-07-08 17:04:35. Total elapsed time: 0.015283 Benchmark Times: Run Start: 2021-07-08 17:04:35 (1625778275) Run Stop: 2021-07-08 17:04:35 (1625778275) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 15409000 0.015409) Error 620.omnetpp_s base refspeed ratio=105847.23, runtime=0.015409, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00%, errorcode=RE ----------------------------------- Running (#2) 623.xalancbmk_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 17:04:35] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -o ref-t5.out -e ref-t5.err ../run_base_refspeed_x86_64-m64.0000/xalancbmk_s_base.x86_64-m64 -v t5.xml xalanc.xsl > ref-t5.out 2>> ref-t5.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 17:04:35 (1625778275.33699) Stop command: 2021-07-08 17:08:36 (1625778516.69743) Elapsed time for command: 00:04:01 (241.360440015793) Workload elapsed time (copy 0 workload 1) = 241.204389 seconds Copy 0 of 623.xalancbmk_s (base refspeed) run 2 finished at 2021-07-08 17:08:36. Total elapsed time: 241.204389 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref-t5.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 17:08:36 (1625778516.75899) Stop command: 2021-07-08 17:08:37 (1625778517.11526) Elapsed time for command: 00:00:00 (0.356270790100098) Benchmark Times: Run Start: 2021-07-08 17:04:35 (1625778275) Run Stop: 2021-07-08 17:08:36 (1625778516) Run Elapsed: 00:04:01 (241) Run Reported: 00:04:01 (241 204443000 241.204443) Success 623.xalancbmk_s base refspeed ratio=5.87, runtime=241.204443, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 625.x264_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 17:08:37] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -o run_000-1000_x264_s_base.x86_64-m64_x264_pass1.out -e run_000-1000_x264_s_base.x86_64-m64_x264_pass1.err ../run_base_refspeed_x86_64-m64.0000/x264_s_base.x86_64-m64 --pass 1 --stats x264_stats.log --bitrate 1000 --frames 1000 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_000-1000_x264_s_base.x86_64-m64_x264_pass1.out 2>> run_000-1000_x264_s_base.x86_64-m64_x264_pass1.err (timed) -o run_000-1000_x264_s_base.x86_64-m64_x264_pass2.out -e run_000-1000_x264_s_base.x86_64-m64_x264_pass2.err ../run_base_refspeed_x86_64-m64.0000/x264_s_base.x86_64-m64 --pass 2 --stats x264_stats.log --bitrate 1000 --dumpyuv 200 --frames 1000 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_000-1000_x264_s_base.x86_64-m64_x264_pass2.out 2>> run_000-1000_x264_s_base.x86_64-m64_x264_pass2.err (timed) -o run_0500-1250_x264_s_base.x86_64-m64_x264.out -e run_0500-1250_x264_s_base.x86_64-m64_x264.err ../run_base_refspeed_x86_64-m64.0000/x264_s_base.x86_64-m64 --seek 500 --dumpyuv 200 --frames 1250 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_0500-1250_x264_s_base.x86_64-m64_x264.out 2>> run_0500-1250_x264_s_base.x86_64-m64_x264.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 17:08:37 (1625778517.16086) Stop command: 2021-07-08 17:13:50 (1625778830.86174) Elapsed time for command: 00:05:13 (313.700883150101) Workload elapsed time (copy 0 workload 1) = 38.787008 seconds Workload elapsed time (copy 0 workload 2) = 136.298206 seconds Workload elapsed time (copy 0 workload 3) = 138.459313 seconds Copy 0 of 625.x264_s (base refspeed) run 2 finished at 2021-07-08 17:13:50. Total elapsed time: 313.544527 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'imagevalidate_frame_1100.out' with reltol=0.085 comparing 'imagevalidate_frame_1249.out' with reltol=0.085 comparing 'imagevalidate_frame_200.out' with reltol=0.085 comparing 'imagevalidate_frame_400.out' with reltol=0.085 comparing 'imagevalidate_frame_600.out' with reltol=0.085 comparing 'imagevalidate_frame_700.out' with reltol=0.085 comparing 'imagevalidate_frame_800.out' with reltol=0.085 comparing 'imagevalidate_frame_900.out' with reltol=0.085 comparing 'imagevalidate_frame_999.out' with reltol=0.085 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 17:13:50 (1625778830.93668) Stop command: 2021-07-08 17:13:51 (1625778831.99411) Elapsed time for command: 00:00:01 (1.05742883682251) Benchmark Times: Run Start: 2021-07-08 17:08:37 (1625778517) Run Stop: 2021-07-08 17:13:50 (1625778830) Run Elapsed: 00:05:13 (313) Run Reported: 00:05:13 (313 544592000 313.544592) Success 625.x264_s base refspeed ratio=5.63, runtime=313.544592, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 631.deepsjeng_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 17:13:52] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -o ref.out -e ref.err ../run_base_refspeed_x86_64-m64.0000/deepsjeng_s_base.x86_64-m64 ref.txt > ref.out 2>> ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 17:13:52 (1625778832.07052) Stop command: 2021-07-08 17:18:50 (1625779130.07263) Elapsed time for command: 00:04:58 (298.002117872238) Workload elapsed time (copy 0 workload 1) = 297.845276 seconds Copy 0 of 631.deepsjeng_s (base refspeed) run 2 finished at 2021-07-08 17:18:49. Total elapsed time: 297.845276 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref.out' with obiwan=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 17:18:50 (1625779130.138) Stop command: 2021-07-08 17:18:50 (1625779130.38133) Elapsed time for command: 00:00:00 (0.243330001831055) Benchmark Times: Run Start: 2021-07-08 17:13:52 (1625778832) Run Stop: 2021-07-08 17:18:50 (1625779130) Run Elapsed: 00:04:58 (298) Run Reported: 00:04:57 (297 845339000 297.845339) Success 631.deepsjeng_s base refspeed ratio=4.81, runtime=297.845339, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 641.leela_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 17:18:50] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -o ref.out -e ref.err ../run_base_refspeed_x86_64-m64.0000/leela_s_base.x86_64-m64 ref.sgf > ref.out 2>> ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 17:18:50 (1625779130.42258) Stop command: 2021-07-08 17:24:58 (1625779498.5575) Elapsed time for command: 00:06:08 (368.134921073914) Workload elapsed time (copy 0 workload 1) = 367.978483 seconds Copy 0 of 641.leela_s (base refspeed) run 2 finished at 2021-07-08 17:24:58. Total elapsed time: 367.978483 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 17:24:58 (1625779498.6293) Stop command: 2021-07-08 17:24:58 (1625779498.88204) Elapsed time for command: 00:00:00 (0.25274395942688) Benchmark Times: Run Start: 2021-07-08 17:18:50 (1625779130) Run Stop: 2021-07-08 17:24:58 (1625779498) Run Elapsed: 00:06:08 (368) Run Reported: 00:06:07 (367 978528000 367.978528) Success 641.leela_s base refspeed ratio=4.64, runtime=367.978528, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 648.exchange2_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 17:24:58] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -o exchange2.txt -e exchange2.err ../run_base_refspeed_x86_64-m64.0000/exchange2_s_base.x86_64-m64 6 > exchange2.txt 2>> exchange2.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 17:24:58 (1625779498.95894) Stop command: 2021-07-08 17:30:15 (1625779815.63109) Elapsed time for command: 00:05:16 (316.672152996063) Workload elapsed time (copy 0 workload 1) = 316.515028 seconds Copy 0 of 648.exchange2_s (base refspeed) run 2 finished at 2021-07-08 17:30:15. Total elapsed time: 316.515028 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 's.txt' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 17:30:15 (1625779815.69479) Stop command: 2021-07-08 17:30:15 (1625779815.93186) Elapsed time for command: 00:00:00 (0.23707389831543) Benchmark Times: Run Start: 2021-07-08 17:24:58 (1625779498) Run Stop: 2021-07-08 17:30:15 (1625779815) Run Elapsed: 00:05:17 (317) Run Reported: 00:05:16 (316 515122000 316.515122) Success 648.exchange2_s base refspeed ratio=9.29, runtime=316.515122, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 657.xz_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 17:30:15] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -o cpu2006docs.tar-6643-4.out -e cpu2006docs.tar-6643-4.err ../run_base_refspeed_x86_64-m64.0000/xz_s_base.x86_64-m64 cpu2006docs.tar.xz 6643 055ce243071129412e9dd0b3b69a21654033a9b723d874b2015c774fac1553d9713be561ca86f74e4f16f22e664fc17a79f30caa5ad2c04fbc447549c2810fae 1036078272 1111795472 4 > cpu2006docs.tar-6643-4.out 2>> cpu2006docs.tar-6643-4.err (timed) -o cld.tar-1400-8.out -e cld.tar-1400-8.err ../run_base_refspeed_x86_64-m64.0000/xz_s_base.x86_64-m64 cld.tar.xz 1400 19cf30ae51eddcbefda78dd06014b4b96281456e078ca7c13e1c0c9e6aaea8dff3efb4ad6b0456697718cede6bd5454852652806a657bb56e07d61128434b474 536995164 539938872 8 > cld.tar-1400-8.out 2>> cld.tar-1400-8.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 17:30:16 (1625779816.00277) Stop command: 2021-07-08 17:43:56 (1625780636.27863) Elapsed time for command: 00:13:40 (820.275855064392) Workload elapsed time (copy 0 workload 1) = 320.673109 seconds Workload elapsed time (copy 0 workload 2) = 499.399706 seconds Copy 0 of 657.xz_s (base refspeed) run 2 finished at 2021-07-08 17:43:56. Total elapsed time: 820.072815 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'cld.tar-1400-8.out' with comparing 'cpu2006docs.tar-6643-4.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 17:43:56 (1625780636.71534) Stop command: 2021-07-08 17:43:57 (1625780637.08754) Elapsed time for command: 00:00:00 (0.372196197509766) Benchmark Times: Run Start: 2021-07-08 17:30:16 (1625779816) Run Stop: 2021-07-08 17:43:56 (1625780636) Run Elapsed: 00:13:40 (820) Run Reported: 00:13:40 (820 73666000 820.073666) Success 657.xz_s base refspeed ratio=7.54, runtime=820.073666, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 998.specrand_is refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 17:43:57] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -o rand.234923.out -e rand.234923.err ../run_base_refspeed_x86_64-m64.0000/specrand_is_base.x86_64-m64 1255432124 234923 > rand.234923.out 2>> rand.234923.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 17:43:57 (1625780637.23713) Stop command: 2021-07-08 17:43:57 (1625780637.43625) Elapsed time for command: 00:00:00 (0.199115991592407) Workload elapsed time (copy 0 workload 1) = 0.043067 seconds Copy 0 of 998.specrand_is (base refspeed) run 2 finished at 2021-07-08 17:43:57. Total elapsed time: 0.043067 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'rand.234923.out' with floatcompare=1, nansupport=0 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 17:43:57 (1625780637.4641) Stop command: 2021-07-08 17:43:58 (1625780638.15989) Elapsed time for command: 00:00:00 (0.695791959762573) Benchmark Times: Run Start: 2021-07-08 17:43:57 (1625780637) Run Stop: 2021-07-08 17:43:57 (1625780637) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 43115000 0.043115) Success 998.specrand_is base refspeed ratio=231.94, runtime=0.043115, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 600.perlbench_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 17:43:58] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -o checkspam.2500.5.25.11.150.1.1.1.1.out -e checkspam.2500.5.25.11.150.1.1.1.1.err ../run_base_refspeed_x86_64-m64.0000/perlbench_s_base.x86_64-m64 -I./lib checkspam.pl 2500 5 25 11 150 1 1 1 1 > checkspam.2500.5.25.11.150.1.1.1.1.out 2>> checkspam.2500.5.25.11.150.1.1.1.1.err (timed) -o diffmail.4.800.10.17.19.300.out -e diffmail.4.800.10.17.19.300.err ../run_base_refspeed_x86_64-m64.0000/perlbench_s_base.x86_64-m64 -I./lib diffmail.pl 4 800 10 17 19 300 > diffmail.4.800.10.17.19.300.out 2>> diffmail.4.800.10.17.19.300.err (timed) -o splitmail.6400.12.26.16.100.0.out -e splitmail.6400.12.26.16.100.0.err ../run_base_refspeed_x86_64-m64.0000/perlbench_s_base.x86_64-m64 -I./lib splitmail.pl 6400 12 26 16 100 0 > splitmail.6400.12.26.16.100.0.out 2>> splitmail.6400.12.26.16.100.0.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 17:43:58 (1625780638.59348) Stop command: 2021-07-08 17:47:50 (1625780870.08383) Elapsed time for command: 00:03:51 (231.490355014801) Workload elapsed time (copy 0 workload 1) = 103.026134 seconds Workload elapsed time (copy 0 workload 2) = 60.506758 seconds Workload elapsed time (copy 0 workload 3) = 67.801365 seconds Copy 0 of 600.perlbench_s (base refspeed) run 3 finished at 2021-07-08 17:47:49. Total elapsed time: 231.334257 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'checkspam.2500.5.25.11.150.1.1.1.1.out' with floatcompare=1, nansupport=no comparing 'diffmail.4.800.10.17.19.300.out' with floatcompare=1, nansupport=no comparing 'splitmail.6400.12.26.16.100.0.out' with floatcompare=1, nansupport=no Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 17:47:50 (1625780870.11509) Stop command: 2021-07-08 17:47:50 (1625780870.72763) Elapsed time for command: 00:00:00 (0.612539052963257) Benchmark Times: Run Start: 2021-07-08 17:43:58 (1625780638) Run Stop: 2021-07-08 17:47:50 (1625780870) Run Elapsed: 00:03:52 (232) Run Reported: 00:03:51 (231 334346000 231.334346) Success 600.perlbench_s base refspeed ratio=7.67, runtime=231.334346, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 602.gcc_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 17:47:50] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -o gcc-pp.opts-O5_-fipa-pta.out -e gcc-pp.opts-O5_-fipa-pta.err ../run_base_refspeed_x86_64-m64.0000/sgcc_base.x86_64-m64 gcc-pp.c -O5 -fipa-pta -o gcc-pp.opts-O5_-fipa-pta.s > gcc-pp.opts-O5_-fipa-pta.out 2>> gcc-pp.opts-O5_-fipa-pta.err (timed) -o gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.out -e gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.err ../run_base_refspeed_x86_64-m64.0000/sgcc_base.x86_64-m64 gcc-pp.c -O5 -finline-limit=1000 -fselective-scheduling -fselective-scheduling2 -o gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.s > gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.out 2>> gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.err (timed) -o gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.out -e gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.err ../run_base_refspeed_x86_64-m64.0000/sgcc_base.x86_64-m64 gcc-pp.c -O5 -finline-limit=24000 -fgcse -fgcse-las -fgcse-lm -fgcse-sm -o gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.s > gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.out 2>> gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 17:47:50 (1625780870.8551) Stop command: 2021-07-08 17:54:04 (1625781244.84227) Elapsed time for command: 00:06:13 (373.987166881561) Workload elapsed time (copy 0 workload 1) = 221.406002 seconds Workload elapsed time (copy 0 workload 2) = 77.917067 seconds Workload elapsed time (copy 0 workload 3) = 74.507783 seconds Copy 0 of 602.gcc_s (base refspeed) run 3 finished at 2021-07-08 17:54:04. Total elapsed time: 373.830852 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.s' with floatcompare=1, nansupport=no comparing 'gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.s' with floatcompare=1, nansupport=no comparing 'gcc-pp.opts-O5_-fipa-pta.s' with floatcompare=1, nansupport=no Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 17:54:04 (1625781244.87133) Stop command: 2021-07-08 17:54:16 (1625781256.89867) Elapsed time for command: 00:00:12 (12.0273430347443) Benchmark Times: Run Start: 2021-07-08 17:47:50 (1625780870) Run Stop: 2021-07-08 17:54:04 (1625781244) Run Elapsed: 00:06:14 (374) Run Reported: 00:06:13 (373 830955000 373.830955) Success 602.gcc_s base refspeed ratio=10.65, runtime=373.830955, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 605.mcf_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 17:54:16] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -o inp.out -e inp.err ../run_base_refspeed_x86_64-m64.0000/mcf_s_base.x86_64-m64 inp.in > inp.out 2>> inp.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 17:54:16 (1625781256.94069) Stop command: 2021-07-08 18:03:09 (1625781789.69446) Elapsed time for command: 00:08:52 (532.753772974014) Workload elapsed time (copy 0 workload 1) = 532.597149 seconds Copy 0 of 605.mcf_s (base refspeed) run 3 finished at 2021-07-08 18:03:09. Total elapsed time: 532.597149 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'inp.out' with comparing 'mcf.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 18:03:09 (1625781789.72289) Stop command: 2021-07-08 18:03:10 (1625781790.03979) Elapsed time for command: 00:00:00 (0.316903114318848) Benchmark Times: Run Start: 2021-07-08 17:54:16 (1625781256) Run Stop: 2021-07-08 18:03:09 (1625781789) Run Elapsed: 00:08:53 (533) Run Reported: 00:08:52 (532 597200000 532.5972) Success 605.mcf_s base refspeed ratio=8.86, runtime=532.597200, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 620.omnetpp_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 18:03:10] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_base_refspeed_x86_64-m64.0000 -o omnetpp.General-0.out -e omnetpp.General-0.err ../run_base_refspeed_x86_64-m64.0000/omnetpp_s_base.x86_64-m64 -c General -r 0 > omnetpp.General-0.out 2>> omnetpp.General-0.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 18:03:10 (1625781790.13818) Stop command: 2021-07-08 18:03:10 (1625781790.30368) Elapsed time for command: 00:00:00 (0.16550087928772) 620.omnetpp_s: copy 0 non-zero return code (exit code=1, signal=0) **************************************** Contents of omnetpp.General-0.err **************************************** Error during startup: Register_Function() or cMathFunction: attempt to register function "SPEC_HYPOT" with wrong number of arguments 2, should be 3. **************************************** **************************************** Contents of speccmds.out **************************************** specinvoke r4356 Invoked as: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout timer ticks over every 1000 ns Environment in effect for these runs: # 1: DBUS_SESSION_BUS_ADDRESS=unix:path=/run/user/2631/bus # 2: OLDPWD=/notnfs/vmakarov/perf/scripts # 3: SPEC=/notnfs/vmakarov/spec2017 # 4: SPECPERLLIB=/notnfs/vmakarov/spec2017/bin/lib:/notnfs/vmakarov/spec2017/bin # 5: SHLVL=4 # 6: XDG_RUNTIME_DIR=/run/user/2631 # 7: XDG_SESSION_ID=140 # 8: LOGNAME=vmakarov # 9: USER=vmakarov #10: SSH_AUTH_SOCK=/tmp/ssh-BzK8RD2adX7w/agent.2022 #11: MAILTO=vmakarov@redhat.com #12: HOME=/home/vmakarov #13: SPECDB_PWD=/notnfs/vmakarov/spec2017 #14: LD_LIBRARY_PATH=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/lib64:/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/lib::/usr/lib64/:/usr/lib/:/lib64 #15: LANG=en_US.UTF-8 #16: PWD=/notnfs/vmakarov/spec2017 #17: PATH=/notnfs/vmakarov/spec2017/bin:/usr/bin:/bin #18: SSH_AGENT_PID=2023 #19: HOSTSUFFIX= #20: LIBC_FATAL_STDERR_=1 #21: SHELL=/bin/sh #22: OMP_NUM_THREADS=4 running commands in speccmds.cmd 1 times runs started at 1625781790, 139853000, Thu Jul 8 18:03:10 2021 run 1 started at 1625781790, 139865000, Thu Jul 8 18:03:10 2021 child started: 0, 1625781790, 139869000, pid=14545, '../run_base_refspeed_x86_64-m64.0000/omnetpp_s_base.x86_64-m64 -c General -r 0 > omnetpp.General-0.out 2>> omnetpp.General-0.err' child finished: 0, 1625781790, 149693000, sec=0, nsec=9824000, pid=14545, rc=256 run 1 finished at: 1625781790, 149705000, Thu Jul 8 18:03:10 2021 run 1 elapsed time: 0, 009840000, 0.009840000 runs finished at 1625781790, 149713000, Thu Jul 8 18:03:10 2021 runs elapsed time: 0, 009860000, 0.009860000 error: a total of 1 children finished with errors specinvoke exit: rc=0 **************************************** Workload elapsed time (copy 0 workload 1) = 0.009824 seconds Copy 0 of 620.omnetpp_s (base refspeed) run 3 finished at 2021-07-08 18:03:10. Total elapsed time: 0.009824 Benchmark Times: Run Start: 2021-07-08 18:03:10 (1625781790) Run Stop: 2021-07-08 18:03:10 (1625781790) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 9860000 0.00986) Error 620.omnetpp_s base refspeed ratio=165415.82, runtime=0.009860, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00%, errorcode=RE ----------------------------------- Running (#3) 623.xalancbmk_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 18:03:10] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -o ref-t5.out -e ref-t5.err ../run_base_refspeed_x86_64-m64.0000/xalancbmk_s_base.x86_64-m64 -v t5.xml xalanc.xsl > ref-t5.out 2>> ref-t5.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 18:03:10 (1625781790.44539) Stop command: 2021-07-08 18:07:13 (1625782033.37073) Elapsed time for command: 00:04:02 (242.925345182419) Workload elapsed time (copy 0 workload 1) = 242.769096 seconds Copy 0 of 623.xalancbmk_s (base refspeed) run 3 finished at 2021-07-08 18:07:13. Total elapsed time: 242.769096 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref-t5.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 18:07:13 (1625782033.45518) Stop command: 2021-07-08 18:07:13 (1625782033.85913) Elapsed time for command: 00:00:00 (0.40395188331604) Benchmark Times: Run Start: 2021-07-08 18:03:10 (1625781790) Run Stop: 2021-07-08 18:07:13 (1625782033) Run Elapsed: 00:04:03 (243) Run Reported: 00:04:02 (242 769144000 242.769144) Success 623.xalancbmk_s base refspeed ratio=5.84, runtime=242.769144, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 625.x264_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 18:07:13] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -o run_000-1000_x264_s_base.x86_64-m64_x264_pass1.out -e run_000-1000_x264_s_base.x86_64-m64_x264_pass1.err ../run_base_refspeed_x86_64-m64.0000/x264_s_base.x86_64-m64 --pass 1 --stats x264_stats.log --bitrate 1000 --frames 1000 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_000-1000_x264_s_base.x86_64-m64_x264_pass1.out 2>> run_000-1000_x264_s_base.x86_64-m64_x264_pass1.err (timed) -o run_000-1000_x264_s_base.x86_64-m64_x264_pass2.out -e run_000-1000_x264_s_base.x86_64-m64_x264_pass2.err ../run_base_refspeed_x86_64-m64.0000/x264_s_base.x86_64-m64 --pass 2 --stats x264_stats.log --bitrate 1000 --dumpyuv 200 --frames 1000 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_000-1000_x264_s_base.x86_64-m64_x264_pass2.out 2>> run_000-1000_x264_s_base.x86_64-m64_x264_pass2.err (timed) -o run_0500-1250_x264_s_base.x86_64-m64_x264.out -e run_0500-1250_x264_s_base.x86_64-m64_x264.err ../run_base_refspeed_x86_64-m64.0000/x264_s_base.x86_64-m64 --seek 500 --dumpyuv 200 --frames 1250 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_0500-1250_x264_s_base.x86_64-m64_x264.out 2>> run_0500-1250_x264_s_base.x86_64-m64_x264.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 18:07:13 (1625782033.9117) Stop command: 2021-07-08 18:12:27 (1625782347.87005) Elapsed time for command: 00:05:13 (313.958350896835) Workload elapsed time (copy 0 workload 1) = 38.880879 seconds Workload elapsed time (copy 0 workload 2) = 136.301685 seconds Workload elapsed time (copy 0 workload 3) = 138.619457 seconds Copy 0 of 625.x264_s (base refspeed) run 3 finished at 2021-07-08 18:12:27. Total elapsed time: 313.802021 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'imagevalidate_frame_1100.out' with reltol=0.085 comparing 'imagevalidate_frame_1249.out' with reltol=0.085 comparing 'imagevalidate_frame_200.out' with reltol=0.085 comparing 'imagevalidate_frame_400.out' with reltol=0.085 comparing 'imagevalidate_frame_600.out' with reltol=0.085 comparing 'imagevalidate_frame_700.out' with reltol=0.085 comparing 'imagevalidate_frame_800.out' with reltol=0.085 comparing 'imagevalidate_frame_900.out' with reltol=0.085 comparing 'imagevalidate_frame_999.out' with reltol=0.085 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 18:12:27 (1625782347.90624) Stop command: 2021-07-08 18:12:28 (1625782348.96679) Elapsed time for command: 00:00:01 (1.06054997444153) Benchmark Times: Run Start: 2021-07-08 18:07:13 (1625782033) Run Stop: 2021-07-08 18:12:27 (1625782347) Run Elapsed: 00:05:14 (314) Run Reported: 00:05:13 (313 802084000 313.802084) Success 625.x264_s base refspeed ratio=5.62, runtime=313.802084, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 631.deepsjeng_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 18:12:28] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -o ref.out -e ref.err ../run_base_refspeed_x86_64-m64.0000/deepsjeng_s_base.x86_64-m64 ref.txt > ref.out 2>> ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 18:12:29 (1625782349.0033) Stop command: 2021-07-08 18:17:26 (1625782646.0414) Elapsed time for command: 00:04:57 (297.03809595108) Workload elapsed time (copy 0 workload 1) = 296.884255 seconds Copy 0 of 631.deepsjeng_s (base refspeed) run 3 finished at 2021-07-08 18:17:25. Total elapsed time: 296.884255 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref.out' with obiwan=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 18:17:26 (1625782646.06755) Stop command: 2021-07-08 18:17:26 (1625782646.30885) Elapsed time for command: 00:00:00 (0.241292953491211) Benchmark Times: Run Start: 2021-07-08 18:12:29 (1625782349) Run Stop: 2021-07-08 18:17:26 (1625782646) Run Elapsed: 00:04:57 (297) Run Reported: 00:04:56 (296 884305000 296.884305) Success 631.deepsjeng_s base refspeed ratio=4.83, runtime=296.884305, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 641.leela_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 18:17:26] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -o ref.out -e ref.err ../run_base_refspeed_x86_64-m64.0000/leela_s_base.x86_64-m64 ref.sgf > ref.out 2>> ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 18:17:26 (1625782646.39198) Stop command: 2021-07-08 18:23:33 (1625783013.92828) Elapsed time for command: 00:06:07 (367.536305904388) Workload elapsed time (copy 0 workload 1) = 367.379409 seconds Copy 0 of 641.leela_s (base refspeed) run 3 finished at 2021-07-08 18:23:33. Total elapsed time: 367.379409 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 18:23:33 (1625783013.95586) Stop command: 2021-07-08 18:23:34 (1625783014.19711) Elapsed time for command: 00:00:00 (0.241246938705444) Benchmark Times: Run Start: 2021-07-08 18:17:26 (1625782646) Run Stop: 2021-07-08 18:23:33 (1625783013) Run Elapsed: 00:06:07 (367) Run Reported: 00:06:07 (367 379456000 367.379456) Success 641.leela_s base refspeed ratio=4.64, runtime=367.379456, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 648.exchange2_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 18:23:34] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -o exchange2.txt -e exchange2.err ../run_base_refspeed_x86_64-m64.0000/exchange2_s_base.x86_64-m64 6 > exchange2.txt 2>> exchange2.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 18:23:34 (1625783014.28159) Stop command: 2021-07-08 18:28:50 (1625783330.57988) Elapsed time for command: 00:05:16 (316.298288822174) Workload elapsed time (copy 0 workload 1) = 316.139912 seconds Copy 0 of 648.exchange2_s (base refspeed) run 3 finished at 2021-07-08 18:28:50. Total elapsed time: 316.139912 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 's.txt' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 18:28:50 (1625783330.60627) Stop command: 2021-07-08 18:28:50 (1625783330.8417) Elapsed time for command: 00:00:00 (0.235430955886841) Benchmark Times: Run Start: 2021-07-08 18:23:34 (1625783014) Run Stop: 2021-07-08 18:28:50 (1625783330) Run Elapsed: 00:05:16 (316) Run Reported: 00:05:16 (316 140049000 316.140049) Success 648.exchange2_s base refspeed ratio=9.30, runtime=316.140049, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 657.xz_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 18:28:50] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -o cpu2006docs.tar-6643-4.out -e cpu2006docs.tar-6643-4.err ../run_base_refspeed_x86_64-m64.0000/xz_s_base.x86_64-m64 cpu2006docs.tar.xz 6643 055ce243071129412e9dd0b3b69a21654033a9b723d874b2015c774fac1553d9713be561ca86f74e4f16f22e664fc17a79f30caa5ad2c04fbc447549c2810fae 1036078272 1111795472 4 > cpu2006docs.tar-6643-4.out 2>> cpu2006docs.tar-6643-4.err (timed) -o cld.tar-1400-8.out -e cld.tar-1400-8.err ../run_base_refspeed_x86_64-m64.0000/xz_s_base.x86_64-m64 cld.tar.xz 1400 19cf30ae51eddcbefda78dd06014b4b96281456e078ca7c13e1c0c9e6aaea8dff3efb4ad6b0456697718cede6bd5454852652806a657bb56e07d61128434b474 536995164 539938872 8 > cld.tar-1400-8.out 2>> cld.tar-1400-8.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 18:28:50 (1625783330.91787) Stop command: 2021-07-08 18:42:31 (1625784151.95106) Elapsed time for command: 00:13:41 (821.033190965652) Workload elapsed time (copy 0 workload 1) = 320.70332 seconds Workload elapsed time (copy 0 workload 2) = 500.156633 seconds Copy 0 of 657.xz_s (base refspeed) run 3 finished at 2021-07-08 18:42:31. Total elapsed time: 820.859953 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'cld.tar-1400-8.out' with comparing 'cpu2006docs.tar-6643-4.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 18:42:32 (1625784152.08313) Stop command: 2021-07-08 18:42:32 (1625784152.49587) Elapsed time for command: 00:00:00 (0.41273307800293) Benchmark Times: Run Start: 2021-07-08 18:28:50 (1625783330) Run Stop: 2021-07-08 18:42:31 (1625784151) Run Elapsed: 00:13:41 (821) Run Reported: 00:13:40 (820 860999000 820.860999) Success 657.xz_s base refspeed ratio=7.53, runtime=820.860999, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 998.specrand_is refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 18:42:32] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -o rand.234923.out -e rand.234923.err ../run_base_refspeed_x86_64-m64.0000/specrand_is_base.x86_64-m64 1255432124 234923 > rand.234923.out 2>> rand.234923.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 18:42:32 (1625784152.59068) Stop command: 2021-07-08 18:42:32 (1625784152.78274) Elapsed time for command: 00:00:00 (0.192064046859741) Workload elapsed time (copy 0 workload 1) = 0.038826 seconds Copy 0 of 998.specrand_is (base refspeed) run 3 finished at 2021-07-08 18:42:32. Total elapsed time: 0.038826 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'rand.234923.out' with floatcompare=1, nansupport=0 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 18:42:32 (1625784152.82433) Stop command: 2021-07-08 18:42:33 (1625784153.52188) Elapsed time for command: 00:00:00 (0.697546005249023) Benchmark Times: Run Start: 2021-07-08 18:42:32 (1625784152) Run Stop: 2021-07-08 18:42:32 (1625784152) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 38857000 0.038857) Success 998.specrand_is base refspeed ratio=257.35, runtime=0.038857, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 600.perlbench_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 18:42:33] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -o checkspam.2500.5.25.11.150.1.1.1.1.out -e checkspam.2500.5.25.11.150.1.1.1.1.err ../run_peak_refspeed_x86_64-m64.0000/perlbench_s_peak.x86_64-m64 -I./lib checkspam.pl 2500 5 25 11 150 1 1 1 1 > checkspam.2500.5.25.11.150.1.1.1.1.out 2>> checkspam.2500.5.25.11.150.1.1.1.1.err (timed) -o diffmail.4.800.10.17.19.300.out -e diffmail.4.800.10.17.19.300.err ../run_peak_refspeed_x86_64-m64.0000/perlbench_s_peak.x86_64-m64 -I./lib diffmail.pl 4 800 10 17 19 300 > diffmail.4.800.10.17.19.300.out 2>> diffmail.4.800.10.17.19.300.err (timed) -o splitmail.6400.12.26.16.100.0.out -e splitmail.6400.12.26.16.100.0.err ../run_peak_refspeed_x86_64-m64.0000/perlbench_s_peak.x86_64-m64 -I./lib splitmail.pl 6400 12 26 16 100 0 > splitmail.6400.12.26.16.100.0.out 2>> splitmail.6400.12.26.16.100.0.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 18:42:33 (1625784153.98042) Stop command: 2021-07-08 18:46:15 (1625784375.79378) Elapsed time for command: 00:03:41 (221.813362836838) Workload elapsed time (copy 0 workload 1) = 96.086676 seconds Workload elapsed time (copy 0 workload 2) = 59.765492 seconds Workload elapsed time (copy 0 workload 3) = 65.80509 seconds Copy 0 of 600.perlbench_s (peak refspeed) run 1 finished at 2021-07-08 18:46:15. Total elapsed time: 221.657258 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'checkspam.2500.5.25.11.150.1.1.1.1.out' with floatcompare=1, nansupport=no comparing 'diffmail.4.800.10.17.19.300.out' with floatcompare=1, nansupport=no comparing 'splitmail.6400.12.26.16.100.0.out' with floatcompare=1, nansupport=no Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 18:46:15 (1625784375.82076) Stop command: 2021-07-08 18:46:16 (1625784376.44182) Elapsed time for command: 00:00:00 (0.621060132980347) Benchmark Times: Run Start: 2021-07-08 18:42:33 (1625784153) Run Stop: 2021-07-08 18:46:15 (1625784375) Run Elapsed: 00:03:42 (222) Run Reported: 00:03:41 (221 657342000 221.657342) Success 600.perlbench_s peak refspeed ratio=8.01, runtime=221.657342, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 602.gcc_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 18:46:16] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -o gcc-pp.opts-O5_-fipa-pta.out -e gcc-pp.opts-O5_-fipa-pta.err ../run_peak_refspeed_x86_64-m64.0000/sgcc_peak.x86_64-m64 gcc-pp.c -O5 -fipa-pta -o gcc-pp.opts-O5_-fipa-pta.s > gcc-pp.opts-O5_-fipa-pta.out 2>> gcc-pp.opts-O5_-fipa-pta.err (timed) -o gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.out -e gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.err ../run_peak_refspeed_x86_64-m64.0000/sgcc_peak.x86_64-m64 gcc-pp.c -O5 -finline-limit=1000 -fselective-scheduling -fselective-scheduling2 -o gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.s > gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.out 2>> gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.err (timed) -o gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.out -e gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.err ../run_peak_refspeed_x86_64-m64.0000/sgcc_peak.x86_64-m64 gcc-pp.c -O5 -finline-limit=24000 -fgcse -fgcse-las -fgcse-lm -fgcse-sm -o gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.s > gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.out 2>> gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 18:46:16 (1625784376.60318) Stop command: 2021-07-08 18:51:55 (1625784715.86267) Elapsed time for command: 00:05:39 (339.25949883461) Workload elapsed time (copy 0 workload 1) = 195.704709 seconds Workload elapsed time (copy 0 workload 2) = 73.300323 seconds Workload elapsed time (copy 0 workload 3) = 70.098167 seconds Copy 0 of 602.gcc_s (peak refspeed) run 1 finished at 2021-07-08 18:51:55. Total elapsed time: 339.103199 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.s' with floatcompare=1, nansupport=no comparing 'gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.s' with floatcompare=1, nansupport=no comparing 'gcc-pp.opts-O5_-fipa-pta.s' with floatcompare=1, nansupport=no Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 18:51:55 (1625784715.89075) Stop command: 2021-07-08 18:52:07 (1625784727.87603) Elapsed time for command: 00:00:11 (11.9852869510651) Benchmark Times: Run Start: 2021-07-08 18:46:16 (1625784376) Run Stop: 2021-07-08 18:51:55 (1625784715) Run Elapsed: 00:05:39 (339) Run Reported: 00:05:39 (339 103299000 339.103299) Success 602.gcc_s peak refspeed ratio=11.74, runtime=339.103299, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 605.mcf_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 18:52:07] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -o inp.out -e inp.err ../run_peak_refspeed_x86_64-m64.0000/mcf_s_peak.x86_64-m64 inp.in > inp.out 2>> inp.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 18:52:07 (1625784727.90922) Stop command: 2021-07-08 18:59:47 (1625785187.1761) Elapsed time for command: 00:07:39 (459.266876935959) Workload elapsed time (copy 0 workload 1) = 459.110502 seconds Copy 0 of 605.mcf_s (peak refspeed) run 1 finished at 2021-07-08 18:59:47. Total elapsed time: 459.110502 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'inp.out' with comparing 'mcf.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 18:59:47 (1625785187.20415) Stop command: 2021-07-08 18:59:47 (1625785187.52335) Elapsed time for command: 00:00:00 (0.319199800491333) Benchmark Times: Run Start: 2021-07-08 18:52:07 (1625784727) Run Stop: 2021-07-08 18:59:47 (1625785187) Run Elapsed: 00:07:40 (460) Run Reported: 00:07:39 (459 110552000 459.110552) Success 605.mcf_s peak refspeed ratio=10.28, runtime=459.110552, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 620.omnetpp_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 18:59:47] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_peak_refspeed_x86_64-m64.0000 -o omnetpp.General-0.out -e omnetpp.General-0.err ../run_peak_refspeed_x86_64-m64.0000/omnetpp_s_peak.x86_64-m64 -c General -r 0 > omnetpp.General-0.out 2>> omnetpp.General-0.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 18:59:47 (1625785187.57512) Stop command: 2021-07-08 18:59:47 (1625785187.74016) Elapsed time for command: 00:00:00 (0.165048122406006) 620.omnetpp_s: copy 0 non-zero return code (exit code=1, signal=0) **************************************** Contents of omnetpp.General-0.err **************************************** Error during startup: Register_Function() or cMathFunction: attempt to register function "SPEC_HYPOT" with wrong number of arguments 2, should be 3. **************************************** **************************************** Contents of speccmds.out **************************************** specinvoke r4356 Invoked as: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout timer ticks over every 1000 ns Environment in effect for these runs: # 1: PWD=/notnfs/vmakarov/spec2017 # 2: PATH=/notnfs/vmakarov/spec2017/bin:/usr/bin:/bin # 3: SPECDB_PWD=/notnfs/vmakarov/spec2017 # 4: LANG=en_US.UTF-8 # 5: LD_LIBRARY_PATH=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/lib64:/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/lib::/usr/lib64/:/usr/lib/:/lib64 # 6: MAILTO=vmakarov@redhat.com # 7: HOME=/home/vmakarov # 8: SSH_AUTH_SOCK=/tmp/ssh-BzK8RD2adX7w/agent.2022 # 9: USER=vmakarov #10: LIBC_FATAL_STDERR_=1 #11: SHELL=/bin/sh #12: HOSTSUFFIX= #13: SSH_AGENT_PID=2023 #14: SPECPERLLIB=/notnfs/vmakarov/spec2017/bin/lib:/notnfs/vmakarov/spec2017/bin #15: SHLVL=4 #16: SPEC=/notnfs/vmakarov/spec2017 #17: OLDPWD=/notnfs/vmakarov/perf/scripts #18: DBUS_SESSION_BUS_ADDRESS=unix:path=/run/user/2631/bus #19: LOGNAME=vmakarov #20: XDG_SESSION_ID=140 #21: XDG_RUNTIME_DIR=/run/user/2631 #22: OMP_NUM_THREADS=4 running commands in speccmds.cmd 1 times runs started at 1625785187, 576993000, Thu Jul 8 18:59:47 2021 run 1 started at 1625785187, 577006000, Thu Jul 8 18:59:47 2021 child started: 0, 1625785187, 577010000, pid=14975, '../run_peak_refspeed_x86_64-m64.0000/omnetpp_s_peak.x86_64-m64 -c General -r 0 > omnetpp.General-0.out 2>> omnetpp.General-0.err' child finished: 0, 1625785187, 586136000, sec=0, nsec=9126000, pid=14975, rc=256 run 1 finished at: 1625785187, 586148000, Thu Jul 8 18:59:47 2021 run 1 elapsed time: 0, 009142000, 0.009142000 runs finished at 1625785187, 586156000, Thu Jul 8 18:59:47 2021 runs elapsed time: 0, 009163000, 0.009163000 error: a total of 1 children finished with errors specinvoke exit: rc=0 **************************************** Workload elapsed time (copy 0 workload 1) = 0.009126 seconds Copy 0 of 620.omnetpp_s (peak refspeed) run 1 finished at 2021-07-08 18:59:47. Total elapsed time: 0.009126 Benchmark Times: Run Start: 2021-07-08 18:59:47 (1625785187) Run Stop: 2021-07-08 18:59:47 (1625785187) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 9163000 0.009163) Error 620.omnetpp_s peak refspeed ratio=177998.47, runtime=0.009163, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00%, errorcode=RE ----------------------------------- Running (#1) 623.xalancbmk_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 18:59:47] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -o ref-t5.out -e ref-t5.err ../run_peak_refspeed_x86_64-m64.0000/xalancbmk_s_peak.x86_64-m64 -v t5.xml xalanc.xsl > ref-t5.out 2>> ref-t5.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 18:59:47 (1625785187.86082) Stop command: 2021-07-08 19:03:24 (1625785404.32144) Elapsed time for command: 00:03:36 (216.460616827011) Workload elapsed time (copy 0 workload 1) = 216.304458 seconds Copy 0 of 623.xalancbmk_s (peak refspeed) run 1 finished at 2021-07-08 19:03:24. Total elapsed time: 216.304458 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref-t5.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 19:03:24 (1625785404.3462) Stop command: 2021-07-08 19:03:24 (1625785404.70333) Elapsed time for command: 00:00:00 (0.357125997543335) Benchmark Times: Run Start: 2021-07-08 18:59:47 (1625785187) Run Stop: 2021-07-08 19:03:24 (1625785404) Run Elapsed: 00:03:37 (217) Run Reported: 00:03:36 (216 304511000 216.304511) Success 623.xalancbmk_s peak refspeed ratio=6.55, runtime=216.304511, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 625.x264_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 19:03:24] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -o run_000-1000_x264_s_peak.x86_64-m64_x264_pass1.out -e run_000-1000_x264_s_peak.x86_64-m64_x264_pass1.err ../run_peak_refspeed_x86_64-m64.0000/x264_s_peak.x86_64-m64 --pass 1 --stats x264_stats.log --bitrate 1000 --frames 1000 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_000-1000_x264_s_peak.x86_64-m64_x264_pass1.out 2>> run_000-1000_x264_s_peak.x86_64-m64_x264_pass1.err (timed) -o run_000-1000_x264_s_peak.x86_64-m64_x264_pass2.out -e run_000-1000_x264_s_peak.x86_64-m64_x264_pass2.err ../run_peak_refspeed_x86_64-m64.0000/x264_s_peak.x86_64-m64 --pass 2 --stats x264_stats.log --bitrate 1000 --dumpyuv 200 --frames 1000 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_000-1000_x264_s_peak.x86_64-m64_x264_pass2.out 2>> run_000-1000_x264_s_peak.x86_64-m64_x264_pass2.err (timed) -o run_0500-1250_x264_s_peak.x86_64-m64_x264.out -e run_0500-1250_x264_s_peak.x86_64-m64_x264.err ../run_peak_refspeed_x86_64-m64.0000/x264_s_peak.x86_64-m64 --seek 500 --dumpyuv 200 --frames 1250 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_0500-1250_x264_s_peak.x86_64-m64_x264.out 2>> run_0500-1250_x264_s_peak.x86_64-m64_x264.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 19:03:24 (1625785404.78679) Stop command: 2021-07-08 19:05:57 (1625785557.01957) Elapsed time for command: 00:02:32 (152.232772111893) Workload elapsed time (copy 0 workload 1) = 24.075166 seconds Workload elapsed time (copy 0 workload 2) = 61.790838 seconds Workload elapsed time (copy 0 workload 3) = 66.210583 seconds Copy 0 of 625.x264_s (peak refspeed) run 1 finished at 2021-07-08 19:05:56. Total elapsed time: 152.076587 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'imagevalidate_frame_1100.out' with reltol=0.085 comparing 'imagevalidate_frame_1249.out' with reltol=0.085 comparing 'imagevalidate_frame_200.out' with reltol=0.085 comparing 'imagevalidate_frame_400.out' with reltol=0.085 comparing 'imagevalidate_frame_600.out' with reltol=0.085 comparing 'imagevalidate_frame_700.out' with reltol=0.085 comparing 'imagevalidate_frame_800.out' with reltol=0.085 comparing 'imagevalidate_frame_900.out' with reltol=0.085 comparing 'imagevalidate_frame_999.out' with reltol=0.085 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 19:05:57 (1625785557.05226) Stop command: 2021-07-08 19:05:58 (1625785558.08306) Elapsed time for command: 00:00:01 (1.03079700469971) Benchmark Times: Run Start: 2021-07-08 19:03:24 (1625785404) Run Stop: 2021-07-08 19:05:57 (1625785557) Run Elapsed: 00:02:33 (153) Run Reported: 00:02:32 (152 76650000 152.07665) Success 625.x264_s peak refspeed ratio=11.60, runtime=152.076650, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 631.deepsjeng_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 19:05:58] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -o ref.out -e ref.err ../run_peak_refspeed_x86_64-m64.0000/deepsjeng_s_peak.x86_64-m64 ref.txt > ref.out 2>> ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 19:05:58 (1625785558.13316) Stop command: 2021-07-08 19:10:17 (1625785817.70401) Elapsed time for command: 00:04:19 (259.570853948593) Workload elapsed time (copy 0 workload 1) = 259.414406 seconds Copy 0 of 631.deepsjeng_s (peak refspeed) run 1 finished at 2021-07-08 19:10:17. Total elapsed time: 259.414406 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref.out' with obiwan=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 19:10:17 (1625785817.73056) Stop command: 2021-07-08 19:10:17 (1625785817.97162) Elapsed time for command: 00:00:00 (0.241060972213745) Benchmark Times: Run Start: 2021-07-08 19:05:58 (1625785558) Run Stop: 2021-07-08 19:10:17 (1625785817) Run Elapsed: 00:04:19 (259) Run Reported: 00:04:19 (259 414462000 259.414462) Success 631.deepsjeng_s peak refspeed ratio=5.52, runtime=259.414462, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 641.leela_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 19:10:18] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -o ref.out -e ref.err ../run_peak_refspeed_x86_64-m64.0000/leela_s_peak.x86_64-m64 ref.sgf > ref.out 2>> ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 19:10:18 (1625785818.05347) Stop command: 2021-07-08 19:15:34 (1625786134.64289) Elapsed time for command: 00:05:16 (316.589416980743) Workload elapsed time (copy 0 workload 1) = 316.431964 seconds Copy 0 of 641.leela_s (peak refspeed) run 1 finished at 2021-07-08 19:15:34. Total elapsed time: 316.431964 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 19:15:34 (1625786134.70898) Stop command: 2021-07-08 19:15:34 (1625786134.951) Elapsed time for command: 00:00:00 (0.242024898529053) Benchmark Times: Run Start: 2021-07-08 19:10:18 (1625785818) Run Stop: 2021-07-08 19:15:34 (1625786134) Run Elapsed: 00:05:16 (316) Run Reported: 00:05:16 (316 432021000 316.432021) Success 641.leela_s peak refspeed ratio=5.39, runtime=316.432021, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 648.exchange2_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 19:15:34] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -o exchange2.txt -e exchange2.err ../run_peak_refspeed_x86_64-m64.0000/exchange2_s_peak.x86_64-m64 6 > exchange2.txt 2>> exchange2.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 19:15:35 (1625786135.02287) Stop command: 2021-07-08 19:19:01 (1625786341.40113) Elapsed time for command: 00:03:26 (206.378258943558) Workload elapsed time (copy 0 workload 1) = 206.221234 seconds Copy 0 of 648.exchange2_s (peak refspeed) run 1 finished at 2021-07-08 19:19:01. Total elapsed time: 206.221234 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 's.txt' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 19:19:01 (1625786341.42588) Stop command: 2021-07-08 19:19:01 (1625786341.66292) Elapsed time for command: 00:00:00 (0.237046957015991) Benchmark Times: Run Start: 2021-07-08 19:15:35 (1625786135) Run Stop: 2021-07-08 19:19:01 (1625786341) Run Elapsed: 00:03:26 (206) Run Reported: 00:03:26 (206 221279000 206.221279) Success 648.exchange2_s peak refspeed ratio=14.26, runtime=206.221279, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 657.xz_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 19:19:01] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -o cpu2006docs.tar-6643-4.out -e cpu2006docs.tar-6643-4.err ../run_peak_refspeed_x86_64-m64.0000/xz_s_peak.x86_64-m64 cpu2006docs.tar.xz 6643 055ce243071129412e9dd0b3b69a21654033a9b723d874b2015c774fac1553d9713be561ca86f74e4f16f22e664fc17a79f30caa5ad2c04fbc447549c2810fae 1036078272 1111795472 4 > cpu2006docs.tar-6643-4.out 2>> cpu2006docs.tar-6643-4.err (timed) -o cld.tar-1400-8.out -e cld.tar-1400-8.err ../run_peak_refspeed_x86_64-m64.0000/xz_s_peak.x86_64-m64 cld.tar.xz 1400 19cf30ae51eddcbefda78dd06014b4b96281456e078ca7c13e1c0c9e6aaea8dff3efb4ad6b0456697718cede6bd5454852652806a657bb56e07d61128434b474 536995164 539938872 8 > cld.tar-1400-8.out 2>> cld.tar-1400-8.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 19:19:01 (1625786341.7386) Stop command: 2021-07-08 19:32:28 (1625787148.89945) Elapsed time for command: 00:13:27 (807.160846948624) Workload elapsed time (copy 0 workload 1) = 311.472481 seconds Workload elapsed time (copy 0 workload 2) = 495.491902 seconds Copy 0 of 657.xz_s (peak refspeed) run 1 finished at 2021-07-08 19:32:28. Total elapsed time: 806.964383 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'cld.tar-1400-8.out' with comparing 'cpu2006docs.tar-6643-4.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 19:32:29 (1625787149.20451) Stop command: 2021-07-08 19:32:29 (1625787149.56277) Elapsed time for command: 00:00:00 (0.358265161514282) Benchmark Times: Run Start: 2021-07-08 19:19:01 (1625786341) Run Stop: 2021-07-08 19:32:28 (1625787148) Run Elapsed: 00:13:27 (807) Run Reported: 00:13:26 (806 965317000 806.965317) Success 657.xz_s peak refspeed ratio=7.66, runtime=806.965317, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 998.specrand_is refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 19:32:29] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -o rand.234923.out -e rand.234923.err ../run_peak_refspeed_x86_64-m64.0000/specrand_is_peak.x86_64-m64 1255432124 234923 > rand.234923.out 2>> rand.234923.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 19:32:29 (1625787149.70709) Stop command: 2021-07-08 19:32:29 (1625787149.90057) Elapsed time for command: 00:00:00 (0.193485021591187) Workload elapsed time (copy 0 workload 1) = 0.037542 seconds Copy 0 of 998.specrand_is (peak refspeed) run 1 finished at 2021-07-08 19:32:29. Total elapsed time: 0.037542 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'rand.234923.out' with floatcompare=1, nansupport=0 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 19:32:29 (1625787149.92912) Stop command: 2021-07-08 19:32:30 (1625787150.63107) Elapsed time for command: 00:00:00 (0.701951026916504) Benchmark Times: Run Start: 2021-07-08 19:32:29 (1625787149) Run Stop: 2021-07-08 19:32:29 (1625787149) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 37576000 0.037576) Success 998.specrand_is peak refspeed ratio=266.13, runtime=0.037576, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 600.perlbench_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 19:32:31] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -o checkspam.2500.5.25.11.150.1.1.1.1.out -e checkspam.2500.5.25.11.150.1.1.1.1.err ../run_peak_refspeed_x86_64-m64.0000/perlbench_s_peak.x86_64-m64 -I./lib checkspam.pl 2500 5 25 11 150 1 1 1 1 > checkspam.2500.5.25.11.150.1.1.1.1.out 2>> checkspam.2500.5.25.11.150.1.1.1.1.err (timed) -o diffmail.4.800.10.17.19.300.out -e diffmail.4.800.10.17.19.300.err ../run_peak_refspeed_x86_64-m64.0000/perlbench_s_peak.x86_64-m64 -I./lib diffmail.pl 4 800 10 17 19 300 > diffmail.4.800.10.17.19.300.out 2>> diffmail.4.800.10.17.19.300.err (timed) -o splitmail.6400.12.26.16.100.0.out -e splitmail.6400.12.26.16.100.0.err ../run_peak_refspeed_x86_64-m64.0000/perlbench_s_peak.x86_64-m64 -I./lib splitmail.pl 6400 12 26 16 100 0 > splitmail.6400.12.26.16.100.0.out 2>> splitmail.6400.12.26.16.100.0.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 19:32:31 (1625787151.07312) Stop command: 2021-07-08 19:36:12 (1625787372.32817) Elapsed time for command: 00:03:41 (221.255046844482) Workload elapsed time (copy 0 workload 1) = 95.793199 seconds Workload elapsed time (copy 0 workload 2) = 59.640304 seconds Workload elapsed time (copy 0 workload 3) = 65.665094 seconds Copy 0 of 600.perlbench_s (peak refspeed) run 2 finished at 2021-07-08 19:36:12. Total elapsed time: 221.098597 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'checkspam.2500.5.25.11.150.1.1.1.1.out' with floatcompare=1, nansupport=no comparing 'diffmail.4.800.10.17.19.300.out' with floatcompare=1, nansupport=no comparing 'splitmail.6400.12.26.16.100.0.out' with floatcompare=1, nansupport=no Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 19:36:12 (1625787372.35579) Stop command: 2021-07-08 19:36:12 (1625787372.97269) Elapsed time for command: 00:00:00 (0.616896867752075) Benchmark Times: Run Start: 2021-07-08 19:32:31 (1625787151) Run Stop: 2021-07-08 19:36:12 (1625787372) Run Elapsed: 00:03:41 (221) Run Reported: 00:03:41 (221 98683000 221.098683) Success 600.perlbench_s peak refspeed ratio=8.03, runtime=221.098683, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 602.gcc_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 19:36:13] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -o gcc-pp.opts-O5_-fipa-pta.out -e gcc-pp.opts-O5_-fipa-pta.err ../run_peak_refspeed_x86_64-m64.0000/sgcc_peak.x86_64-m64 gcc-pp.c -O5 -fipa-pta -o gcc-pp.opts-O5_-fipa-pta.s > gcc-pp.opts-O5_-fipa-pta.out 2>> gcc-pp.opts-O5_-fipa-pta.err (timed) -o gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.out -e gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.err ../run_peak_refspeed_x86_64-m64.0000/sgcc_peak.x86_64-m64 gcc-pp.c -O5 -finline-limit=1000 -fselective-scheduling -fselective-scheduling2 -o gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.s > gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.out 2>> gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.err (timed) -o gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.out -e gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.err ../run_peak_refspeed_x86_64-m64.0000/sgcc_peak.x86_64-m64 gcc-pp.c -O5 -finline-limit=24000 -fgcse -fgcse-las -fgcse-lm -fgcse-sm -o gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.s > gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.out 2>> gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 19:36:13 (1625787373.10861) Stop command: 2021-07-08 19:41:53 (1625787713.63252) Elapsed time for command: 00:05:40 (340.523909091949) Workload elapsed time (copy 0 workload 1) = 195.686443 seconds Workload elapsed time (copy 0 workload 2) = 73.786315 seconds Workload elapsed time (copy 0 workload 3) = 70.894871 seconds Copy 0 of 602.gcc_s (peak refspeed) run 2 finished at 2021-07-08 19:41:53. Total elapsed time: 340.367629 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.s' with floatcompare=1, nansupport=no comparing 'gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.s' with floatcompare=1, nansupport=no comparing 'gcc-pp.opts-O5_-fipa-pta.s' with floatcompare=1, nansupport=no Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 19:41:53 (1625787713.663) Stop command: 2021-07-08 19:42:05 (1625787725.8223) Elapsed time for command: 00:00:12 (12.1593019962311) Benchmark Times: Run Start: 2021-07-08 19:36:13 (1625787373) Run Stop: 2021-07-08 19:41:53 (1625787713) Run Elapsed: 00:05:40 (340) Run Reported: 00:05:40 (340 367730000 340.36773) Success 602.gcc_s peak refspeed ratio=11.70, runtime=340.367730, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 605.mcf_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 19:42:05] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -o inp.out -e inp.err ../run_peak_refspeed_x86_64-m64.0000/mcf_s_peak.x86_64-m64 inp.in > inp.out 2>> inp.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 19:42:05 (1625787725.85757) Stop command: 2021-07-08 19:49:44 (1625788184.61465) Elapsed time for command: 00:07:38 (458.757078170776) Workload elapsed time (copy 0 workload 1) = 458.600726 seconds Copy 0 of 605.mcf_s (peak refspeed) run 2 finished at 2021-07-08 19:49:44. Total elapsed time: 458.600726 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'inp.out' with comparing 'mcf.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 19:49:44 (1625788184.6427) Stop command: 2021-07-08 19:49:44 (1625788184.95959) Elapsed time for command: 00:00:00 (0.316890954971313) Benchmark Times: Run Start: 2021-07-08 19:42:05 (1625787725) Run Stop: 2021-07-08 19:49:44 (1625788184) Run Elapsed: 00:07:39 (459) Run Reported: 00:07:38 (458 600777000 458.600777) Success 605.mcf_s peak refspeed ratio=10.29, runtime=458.600777, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 620.omnetpp_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 19:49:45] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_peak_refspeed_x86_64-m64.0000 -o omnetpp.General-0.out -e omnetpp.General-0.err ../run_peak_refspeed_x86_64-m64.0000/omnetpp_s_peak.x86_64-m64 -c General -r 0 > omnetpp.General-0.out 2>> omnetpp.General-0.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 19:49:45 (1625788185.02548) Stop command: 2021-07-08 19:49:45 (1625788185.19142) Elapsed time for command: 00:00:00 (0.165937900543213) 620.omnetpp_s: copy 0 non-zero return code (exit code=1, signal=0) **************************************** Contents of omnetpp.General-0.err **************************************** Error during startup: Register_Function() or cMathFunction: attempt to register function "SPEC_HYPOT" with wrong number of arguments 2, should be 3. **************************************** **************************************** Contents of speccmds.out **************************************** specinvoke r4356 Invoked as: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout timer ticks over every 1000 ns Environment in effect for these runs: # 1: SPEC=/notnfs/vmakarov/spec2017 # 2: OLDPWD=/notnfs/vmakarov/perf/scripts # 3: SHLVL=4 # 4: SPECPERLLIB=/notnfs/vmakarov/spec2017/bin/lib:/notnfs/vmakarov/spec2017/bin # 5: DBUS_SESSION_BUS_ADDRESS=unix:path=/run/user/2631/bus # 6: LOGNAME=vmakarov # 7: XDG_RUNTIME_DIR=/run/user/2631 # 8: XDG_SESSION_ID=140 # 9: SPECDB_PWD=/notnfs/vmakarov/spec2017 #10: LANG=en_US.UTF-8 #11: LD_LIBRARY_PATH=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/lib64:/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/lib::/usr/lib64/:/usr/lib/:/lib64 #12: PWD=/notnfs/vmakarov/spec2017 #13: PATH=/notnfs/vmakarov/spec2017/bin:/usr/bin:/bin #14: USER=vmakarov #15: MAILTO=vmakarov@redhat.com #16: SSH_AUTH_SOCK=/tmp/ssh-BzK8RD2adX7w/agent.2022 #17: HOME=/home/vmakarov #18: HOSTSUFFIX= #19: LIBC_FATAL_STDERR_=1 #20: SHELL=/bin/sh #21: SSH_AGENT_PID=2023 #22: OMP_NUM_THREADS=4 running commands in speccmds.cmd 1 times runs started at 1625788185, 027674000, Thu Jul 8 19:49:45 2021 run 1 started at 1625788185, 027690000, Thu Jul 8 19:49:45 2021 child started: 0, 1625788185, 027696000, pid=15380, '../run_peak_refspeed_x86_64-m64.0000/omnetpp_s_peak.x86_64-m64 -c General -r 0 > omnetpp.General-0.out 2>> omnetpp.General-0.err' child finished: 0, 1625788185, 037332000, sec=0, nsec=9636000, pid=15380, rc=256 run 1 finished at: 1625788185, 037350000, Thu Jul 8 19:49:45 2021 run 1 elapsed time: 0, 009660000, 0.009660000 runs finished at 1625788185, 037361000, Thu Jul 8 19:49:45 2021 runs elapsed time: 0, 009687000, 0.009687000 error: a total of 1 children finished with errors specinvoke exit: rc=0 **************************************** Workload elapsed time (copy 0 workload 1) = 0.009636 seconds Copy 0 of 620.omnetpp_s (peak refspeed) run 2 finished at 2021-07-08 19:49:45. Total elapsed time: 0.009636 Benchmark Times: Run Start: 2021-07-08 19:49:45 (1625788185) Run Stop: 2021-07-08 19:49:45 (1625788185) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 9687000 0.009687) Error 620.omnetpp_s peak refspeed ratio=168369.98, runtime=0.009687, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00%, errorcode=RE ----------------------------------- Running (#2) 623.xalancbmk_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 19:49:45] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -o ref-t5.out -e ref-t5.err ../run_peak_refspeed_x86_64-m64.0000/xalancbmk_s_peak.x86_64-m64 -v t5.xml xalanc.xsl > ref-t5.out 2>> ref-t5.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 19:49:45 (1625788185.3189) Stop command: 2021-07-08 19:53:30 (1625788410.22788) Elapsed time for command: 00:03:44 (224.908987045288) Workload elapsed time (copy 0 workload 1) = 224.752678 seconds Copy 0 of 623.xalancbmk_s (peak refspeed) run 2 finished at 2021-07-08 19:53:30. Total elapsed time: 224.752678 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref-t5.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 19:53:30 (1625788410.25602) Stop command: 2021-07-08 19:53:30 (1625788410.61138) Elapsed time for command: 00:00:00 (0.355360984802246) Benchmark Times: Run Start: 2021-07-08 19:49:45 (1625788185) Run Stop: 2021-07-08 19:53:30 (1625788410) Run Elapsed: 00:03:45 (225) Run Reported: 00:03:44 (224 752843000 224.752843) Success 623.xalancbmk_s peak refspeed ratio=6.30, runtime=224.752843, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 625.x264_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 19:53:30] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -o run_000-1000_x264_s_peak.x86_64-m64_x264_pass1.out -e run_000-1000_x264_s_peak.x86_64-m64_x264_pass1.err ../run_peak_refspeed_x86_64-m64.0000/x264_s_peak.x86_64-m64 --pass 1 --stats x264_stats.log --bitrate 1000 --frames 1000 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_000-1000_x264_s_peak.x86_64-m64_x264_pass1.out 2>> run_000-1000_x264_s_peak.x86_64-m64_x264_pass1.err (timed) -o run_000-1000_x264_s_peak.x86_64-m64_x264_pass2.out -e run_000-1000_x264_s_peak.x86_64-m64_x264_pass2.err ../run_peak_refspeed_x86_64-m64.0000/x264_s_peak.x86_64-m64 --pass 2 --stats x264_stats.log --bitrate 1000 --dumpyuv 200 --frames 1000 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_000-1000_x264_s_peak.x86_64-m64_x264_pass2.out 2>> run_000-1000_x264_s_peak.x86_64-m64_x264_pass2.err (timed) -o run_0500-1250_x264_s_peak.x86_64-m64_x264.out -e run_0500-1250_x264_s_peak.x86_64-m64_x264.err ../run_peak_refspeed_x86_64-m64.0000/x264_s_peak.x86_64-m64 --seek 500 --dumpyuv 200 --frames 1250 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_0500-1250_x264_s_peak.x86_64-m64_x264.out 2>> run_0500-1250_x264_s_peak.x86_64-m64_x264.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 19:53:30 (1625788410.70369) Stop command: 2021-07-08 19:56:02 (1625788562.7283) Elapsed time for command: 00:02:32 (152.024611949921) Workload elapsed time (copy 0 workload 1) = 24.08347 seconds Workload elapsed time (copy 0 workload 2) = 61.67356 seconds Workload elapsed time (copy 0 workload 3) = 66.111328 seconds Copy 0 of 625.x264_s (peak refspeed) run 2 finished at 2021-07-08 19:56:02. Total elapsed time: 151.868358 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'imagevalidate_frame_1100.out' with reltol=0.085 comparing 'imagevalidate_frame_1249.out' with reltol=0.085 comparing 'imagevalidate_frame_200.out' with reltol=0.085 comparing 'imagevalidate_frame_400.out' with reltol=0.085 comparing 'imagevalidate_frame_600.out' with reltol=0.085 comparing 'imagevalidate_frame_700.out' with reltol=0.085 comparing 'imagevalidate_frame_800.out' with reltol=0.085 comparing 'imagevalidate_frame_900.out' with reltol=0.085 comparing 'imagevalidate_frame_999.out' with reltol=0.085 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 19:56:02 (1625788562.76474) Stop command: 2021-07-08 19:56:03 (1625788563.81314) Elapsed time for command: 00:00:01 (1.04840707778931) Benchmark Times: Run Start: 2021-07-08 19:53:30 (1625788410) Run Stop: 2021-07-08 19:56:02 (1625788562) Run Elapsed: 00:02:32 (152) Run Reported: 00:02:31 (151 868418000 151.868418) Success 625.x264_s peak refspeed ratio=11.62, runtime=151.868418, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 631.deepsjeng_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 19:56:03] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -o ref.out -e ref.err ../run_peak_refspeed_x86_64-m64.0000/deepsjeng_s_peak.x86_64-m64 ref.txt > ref.out 2>> ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 19:56:03 (1625788563.84781) Stop command: 2021-07-08 20:00:22 (1625788822.52639) Elapsed time for command: 00:04:18 (258.6785800457) Workload elapsed time (copy 0 workload 1) = 258.522274 seconds Copy 0 of 631.deepsjeng_s (peak refspeed) run 2 finished at 2021-07-08 20:00:22. Total elapsed time: 258.522274 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref.out' with obiwan=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 20:00:22 (1625788822.55512) Stop command: 2021-07-08 20:00:22 (1625788822.79777) Elapsed time for command: 00:00:00 (0.242650032043457) Benchmark Times: Run Start: 2021-07-08 19:56:03 (1625788563) Run Stop: 2021-07-08 20:00:22 (1625788822) Run Elapsed: 00:04:19 (259) Run Reported: 00:04:18 (258 522325000 258.522325) Success 631.deepsjeng_s peak refspeed ratio=5.54, runtime=258.522325, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 641.leela_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:00:22] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -o ref.out -e ref.err ../run_peak_refspeed_x86_64-m64.0000/leela_s_peak.x86_64-m64 ref.sgf > ref.out 2>> ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:00:22 (1625788822.90513) Stop command: 2021-07-08 20:05:39 (1625789139.48646) Elapsed time for command: 00:05:16 (316.581332921982) Workload elapsed time (copy 0 workload 1) = 316.422675 seconds Copy 0 of 641.leela_s (peak refspeed) run 2 finished at 2021-07-08 20:05:39. Total elapsed time: 316.422675 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 20:05:39 (1625789139.52004) Stop command: 2021-07-08 20:05:39 (1625789139.76133) Elapsed time for command: 00:00:00 (0.241291999816895) Benchmark Times: Run Start: 2021-07-08 20:00:22 (1625788822) Run Stop: 2021-07-08 20:05:39 (1625789139) Run Elapsed: 00:05:17 (317) Run Reported: 00:05:16 (316 422738000 316.422738) Success 641.leela_s peak refspeed ratio=5.39, runtime=316.422738, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 648.exchange2_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:05:39] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -o exchange2.txt -e exchange2.err ../run_peak_refspeed_x86_64-m64.0000/exchange2_s_peak.x86_64-m64 6 > exchange2.txt 2>> exchange2.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:05:39 (1625789139.83806) Stop command: 2021-07-08 20:09:06 (1625789346.66258) Elapsed time for command: 00:03:26 (206.824511051178) Workload elapsed time (copy 0 workload 1) = 206.66733 seconds Copy 0 of 648.exchange2_s (peak refspeed) run 2 finished at 2021-07-08 20:09:06. Total elapsed time: 206.66733 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 's.txt' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 20:09:06 (1625789346.72296) Stop command: 2021-07-08 20:09:06 (1625789346.95864) Elapsed time for command: 00:00:00 (0.235683917999268) Benchmark Times: Run Start: 2021-07-08 20:05:39 (1625789139) Run Stop: 2021-07-08 20:09:06 (1625789346) Run Elapsed: 00:03:27 (207) Run Reported: 00:03:26 (206 667422000 206.667422) Success 648.exchange2_s peak refspeed ratio=14.23, runtime=206.667422, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 657.xz_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:09:06] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -o cpu2006docs.tar-6643-4.out -e cpu2006docs.tar-6643-4.err ../run_peak_refspeed_x86_64-m64.0000/xz_s_peak.x86_64-m64 cpu2006docs.tar.xz 6643 055ce243071129412e9dd0b3b69a21654033a9b723d874b2015c774fac1553d9713be561ca86f74e4f16f22e664fc17a79f30caa5ad2c04fbc447549c2810fae 1036078272 1111795472 4 > cpu2006docs.tar-6643-4.out 2>> cpu2006docs.tar-6643-4.err (timed) -o cld.tar-1400-8.out -e cld.tar-1400-8.err ../run_peak_refspeed_x86_64-m64.0000/xz_s_peak.x86_64-m64 cld.tar.xz 1400 19cf30ae51eddcbefda78dd06014b4b96281456e078ca7c13e1c0c9e6aaea8dff3efb4ad6b0456697718cede6bd5454852652806a657bb56e07d61128434b474 536995164 539938872 8 > cld.tar-1400-8.out 2>> cld.tar-1400-8.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:09:07 (1625789347.08893) Stop command: 2021-07-08 20:22:34 (1625790154.10233) Elapsed time for command: 00:13:27 (807.01340508461) Workload elapsed time (copy 0 workload 1) = 311.744391 seconds Workload elapsed time (copy 0 workload 2) = 495.095787 seconds Copy 0 of 657.xz_s (peak refspeed) run 2 finished at 2021-07-08 20:22:33. Total elapsed time: 806.840178 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'cld.tar-1400-8.out' with comparing 'cpu2006docs.tar-6643-4.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 20:22:34 (1625790154.2849) Stop command: 2021-07-08 20:22:34 (1625790154.66234) Elapsed time for command: 00:00:00 (0.377444982528687) Benchmark Times: Run Start: 2021-07-08 20:09:07 (1625789347) Run Stop: 2021-07-08 20:22:34 (1625790154) Run Elapsed: 00:13:27 (807) Run Reported: 00:13:26 (806 841141000 806.841141) Success 657.xz_s peak refspeed ratio=7.66, runtime=806.841141, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 998.specrand_is refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:22:34] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -o rand.234923.out -e rand.234923.err ../run_peak_refspeed_x86_64-m64.0000/specrand_is_peak.x86_64-m64 1255432124 234923 > rand.234923.out 2>> rand.234923.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:22:34 (1625790154.85416) Stop command: 2021-07-08 20:22:35 (1625790155.05408) Elapsed time for command: 00:00:00 (0.199926137924194) Workload elapsed time (copy 0 workload 1) = 0.040963 seconds Copy 0 of 998.specrand_is (peak refspeed) run 2 finished at 2021-07-08 20:22:34. Total elapsed time: 0.040963 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'rand.234923.out' with floatcompare=1, nansupport=0 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 20:22:35 (1625790155.11973) Stop command: 2021-07-08 20:22:35 (1625790155.81499) Elapsed time for command: 00:00:00 (0.695257902145386) Benchmark Times: Run Start: 2021-07-08 20:22:34 (1625790154) Run Stop: 2021-07-08 20:22:35 (1625790155) Run Elapsed: 00:00:01 (1) Run Reported: 00:00:00 (0 41019000 0.041019) Success 998.specrand_is peak refspeed ratio=243.79, runtime=0.041019, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 600.perlbench_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:22:36] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -o checkspam.2500.5.25.11.150.1.1.1.1.out -e checkspam.2500.5.25.11.150.1.1.1.1.err ../run_peak_refspeed_x86_64-m64.0000/perlbench_s_peak.x86_64-m64 -I./lib checkspam.pl 2500 5 25 11 150 1 1 1 1 > checkspam.2500.5.25.11.150.1.1.1.1.out 2>> checkspam.2500.5.25.11.150.1.1.1.1.err (timed) -o diffmail.4.800.10.17.19.300.out -e diffmail.4.800.10.17.19.300.err ../run_peak_refspeed_x86_64-m64.0000/perlbench_s_peak.x86_64-m64 -I./lib diffmail.pl 4 800 10 17 19 300 > diffmail.4.800.10.17.19.300.out 2>> diffmail.4.800.10.17.19.300.err (timed) -o splitmail.6400.12.26.16.100.0.out -e splitmail.6400.12.26.16.100.0.err ../run_peak_refspeed_x86_64-m64.0000/perlbench_s_peak.x86_64-m64 -I./lib splitmail.pl 6400 12 26 16 100 0 > splitmail.6400.12.26.16.100.0.out 2>> splitmail.6400.12.26.16.100.0.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:22:36 (1625790156.27265) Stop command: 2021-07-08 20:26:17 (1625790377.92288) Elapsed time for command: 00:03:41 (221.650224924088) Workload elapsed time (copy 0 workload 1) = 95.93781 seconds Workload elapsed time (copy 0 workload 2) = 59.973232 seconds Workload elapsed time (copy 0 workload 3) = 65.583047 seconds Copy 0 of 600.perlbench_s (peak refspeed) run 3 finished at 2021-07-08 20:26:17. Total elapsed time: 221.494089 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'checkspam.2500.5.25.11.150.1.1.1.1.out' with floatcompare=1, nansupport=no comparing 'diffmail.4.800.10.17.19.300.out' with floatcompare=1, nansupport=no comparing 'splitmail.6400.12.26.16.100.0.out' with floatcompare=1, nansupport=no Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/600.perlbench_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 20:26:17 (1625790377.9506) Stop command: 2021-07-08 20:26:18 (1625790378.5689) Elapsed time for command: 00:00:00 (0.618300914764404) Benchmark Times: Run Start: 2021-07-08 20:22:36 (1625790156) Run Stop: 2021-07-08 20:26:17 (1625790377) Run Elapsed: 00:03:41 (221) Run Reported: 00:03:41 (221 494177000 221.494177) Success 600.perlbench_s peak refspeed ratio=8.01, runtime=221.494177, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 602.gcc_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:26:18] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -o gcc-pp.opts-O5_-fipa-pta.out -e gcc-pp.opts-O5_-fipa-pta.err ../run_peak_refspeed_x86_64-m64.0000/sgcc_peak.x86_64-m64 gcc-pp.c -O5 -fipa-pta -o gcc-pp.opts-O5_-fipa-pta.s > gcc-pp.opts-O5_-fipa-pta.out 2>> gcc-pp.opts-O5_-fipa-pta.err (timed) -o gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.out -e gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.err ../run_peak_refspeed_x86_64-m64.0000/sgcc_peak.x86_64-m64 gcc-pp.c -O5 -finline-limit=1000 -fselective-scheduling -fselective-scheduling2 -o gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.s > gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.out 2>> gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.err (timed) -o gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.out -e gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.err ../run_peak_refspeed_x86_64-m64.0000/sgcc_peak.x86_64-m64 gcc-pp.c -O5 -finline-limit=24000 -fgcse -fgcse-las -fgcse-lm -fgcse-sm -o gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.s > gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.out 2>> gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:26:18 (1625790378.68851) Stop command: 2021-07-08 20:31:58 (1625790718.06874) Elapsed time for command: 00:05:39 (339.380234003067) Workload elapsed time (copy 0 workload 1) = 194.652374 seconds Workload elapsed time (copy 0 workload 2) = 73.825458 seconds Workload elapsed time (copy 0 workload 3) = 70.746094 seconds Copy 0 of 602.gcc_s (peak refspeed) run 3 finished at 2021-07-08 20:31:57. Total elapsed time: 339.223926 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'gcc-pp.opts-O5_-finline-limit_1000_-fselective-scheduling_-fselective-scheduling2.s' with floatcompare=1, nansupport=no comparing 'gcc-pp.opts-O5_-finline-limit_24000_-fgcse_-fgcse-las_-fgcse-lm_-fgcse-sm.s' with floatcompare=1, nansupport=no comparing 'gcc-pp.opts-O5_-fipa-pta.s' with floatcompare=1, nansupport=no Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/602.gcc_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 20:31:58 (1625790718.0974) Stop command: 2021-07-08 20:32:10 (1625790730.11057) Elapsed time for command: 00:00:12 (12.0131669044495) Benchmark Times: Run Start: 2021-07-08 20:26:18 (1625790378) Run Stop: 2021-07-08 20:31:58 (1625790718) Run Elapsed: 00:05:40 (340) Run Reported: 00:05:39 (339 224037000 339.224037) Success 602.gcc_s peak refspeed ratio=11.74, runtime=339.224037, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 605.mcf_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:32:10] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -o inp.out -e inp.err ../run_peak_refspeed_x86_64-m64.0000/mcf_s_peak.x86_64-m64 inp.in > inp.out 2>> inp.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:32:10 (1625790730.14506) Stop command: 2021-07-08 20:40:01 (1625791201.61783) Elapsed time for command: 00:07:51 (471.472771883011) Workload elapsed time (copy 0 workload 1) = 471.316463 seconds Copy 0 of 605.mcf_s (peak refspeed) run 3 finished at 2021-07-08 20:40:01. Total elapsed time: 471.316463 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'inp.out' with comparing 'mcf.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/605.mcf_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 20:40:01 (1625791201.64481) Stop command: 2021-07-08 20:40:01 (1625791201.96046) Elapsed time for command: 00:00:00 (0.315651893615723) Benchmark Times: Run Start: 2021-07-08 20:32:10 (1625790730) Run Stop: 2021-07-08 20:40:01 (1625791201) Run Elapsed: 00:07:51 (471) Run Reported: 00:07:51 (471 316512000 471.316512) Success 605.mcf_s peak refspeed ratio=10.02, runtime=471.316512, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 620.omnetpp_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:40:02] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_peak_refspeed_x86_64-m64.0000 -o omnetpp.General-0.out -e omnetpp.General-0.err ../run_peak_refspeed_x86_64-m64.0000/omnetpp_s_peak.x86_64-m64 -c General -r 0 > omnetpp.General-0.out 2>> omnetpp.General-0.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:40:02 (1625791202.05053) Stop command: 2021-07-08 20:40:02 (1625791202.21527) Elapsed time for command: 00:00:00 (0.164735078811646) 620.omnetpp_s: copy 0 non-zero return code (exit code=1, signal=0) **************************************** Contents of omnetpp.General-0.err **************************************** Error during startup: Register_Function() or cMathFunction: attempt to register function "SPEC_HYPOT" with wrong number of arguments 2, should be 3. **************************************** **************************************** Contents of speccmds.out **************************************** specinvoke r4356 Invoked as: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/620.omnetpp_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout timer ticks over every 1000 ns Environment in effect for these runs: # 1: USER=vmakarov # 2: MAILTO=vmakarov@redhat.com # 3: HOME=/home/vmakarov # 4: SSH_AUTH_SOCK=/tmp/ssh-BzK8RD2adX7w/agent.2022 # 5: SPECDB_PWD=/notnfs/vmakarov/spec2017 # 6: LANG=en_US.UTF-8 # 7: LD_LIBRARY_PATH=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/lib64:/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/lib::/usr/lib64/:/usr/lib/:/lib64 # 8: PWD=/notnfs/vmakarov/spec2017 # 9: PATH=/notnfs/vmakarov/spec2017/bin:/usr/bin:/bin #10: SSH_AGENT_PID=2023 #11: HOSTSUFFIX= #12: LIBC_FATAL_STDERR_=1 #13: SHELL=/bin/sh #14: DBUS_SESSION_BUS_ADDRESS=unix:path=/run/user/2631/bus #15: OLDPWD=/notnfs/vmakarov/perf/scripts #16: SPEC=/notnfs/vmakarov/spec2017 #17: SHLVL=4 #18: SPECPERLLIB=/notnfs/vmakarov/spec2017/bin/lib:/notnfs/vmakarov/spec2017/bin #19: XDG_RUNTIME_DIR=/run/user/2631 #20: XDG_SESSION_ID=140 #21: LOGNAME=vmakarov #22: OMP_NUM_THREADS=4 running commands in speccmds.cmd 1 times runs started at 1625791202, 052179000, Thu Jul 8 20:40:02 2021 run 1 started at 1625791202, 052191000, Thu Jul 8 20:40:02 2021 child started: 0, 1625791202, 052195000, pid=15818, '../run_peak_refspeed_x86_64-m64.0000/omnetpp_s_peak.x86_64-m64 -c General -r 0 > omnetpp.General-0.out 2>> omnetpp.General-0.err' child finished: 0, 1625791202, 061252000, sec=0, nsec=9057000, pid=15818, rc=256 run 1 finished at: 1625791202, 061264000, Thu Jul 8 20:40:02 2021 run 1 elapsed time: 0, 009073000, 0.009073000 runs finished at 1625791202, 061273000, Thu Jul 8 20:40:02 2021 runs elapsed time: 0, 009094000, 0.009094000 error: a total of 1 children finished with errors specinvoke exit: rc=0 **************************************** Workload elapsed time (copy 0 workload 1) = 0.009057 seconds Copy 0 of 620.omnetpp_s (peak refspeed) run 3 finished at 2021-07-08 20:40:02. Total elapsed time: 0.009057 Benchmark Times: Run Start: 2021-07-08 20:40:02 (1625791202) Run Stop: 2021-07-08 20:40:02 (1625791202) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 9094000 0.009094) Error 620.omnetpp_s peak refspeed ratio=179349.02, runtime=0.009094, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00%, errorcode=RE ----------------------------------- Running (#3) 623.xalancbmk_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:40:02] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -o ref-t5.out -e ref-t5.err ../run_peak_refspeed_x86_64-m64.0000/xalancbmk_s_peak.x86_64-m64 -v t5.xml xalanc.xsl > ref-t5.out 2>> ref-t5.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:40:02 (1625791202.33379) Stop command: 2021-07-08 20:43:39 (1625791419.571) Elapsed time for command: 00:03:37 (217.237211942673) Workload elapsed time (copy 0 workload 1) = 217.081027 seconds Copy 0 of 623.xalancbmk_s (peak refspeed) run 3 finished at 2021-07-08 20:43:39. Total elapsed time: 217.081027 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref-t5.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/623.xalancbmk_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 20:43:39 (1625791419.59781) Stop command: 2021-07-08 20:43:39 (1625791419.95444) Elapsed time for command: 00:00:00 (0.356631994247437) Benchmark Times: Run Start: 2021-07-08 20:40:02 (1625791202) Run Stop: 2021-07-08 20:43:39 (1625791419) Run Elapsed: 00:03:37 (217) Run Reported: 00:03:37 (217 81082000 217.081082) Success 623.xalancbmk_s peak refspeed ratio=6.53, runtime=217.081082, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 625.x264_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:43:39] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -o run_000-1000_x264_s_peak.x86_64-m64_x264_pass1.out -e run_000-1000_x264_s_peak.x86_64-m64_x264_pass1.err ../run_peak_refspeed_x86_64-m64.0000/x264_s_peak.x86_64-m64 --pass 1 --stats x264_stats.log --bitrate 1000 --frames 1000 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_000-1000_x264_s_peak.x86_64-m64_x264_pass1.out 2>> run_000-1000_x264_s_peak.x86_64-m64_x264_pass1.err (timed) -o run_000-1000_x264_s_peak.x86_64-m64_x264_pass2.out -e run_000-1000_x264_s_peak.x86_64-m64_x264_pass2.err ../run_peak_refspeed_x86_64-m64.0000/x264_s_peak.x86_64-m64 --pass 2 --stats x264_stats.log --bitrate 1000 --dumpyuv 200 --frames 1000 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_000-1000_x264_s_peak.x86_64-m64_x264_pass2.out 2>> run_000-1000_x264_s_peak.x86_64-m64_x264_pass2.err (timed) -o run_0500-1250_x264_s_peak.x86_64-m64_x264.out -e run_0500-1250_x264_s_peak.x86_64-m64_x264.err ../run_peak_refspeed_x86_64-m64.0000/x264_s_peak.x86_64-m64 --seek 500 --dumpyuv 200 --frames 1250 -o BuckBunny_New.264 BuckBunny.yuv 1280x720 > run_0500-1250_x264_s_peak.x86_64-m64_x264.out 2>> run_0500-1250_x264_s_peak.x86_64-m64_x264.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:43:40 (1625791420.00558) Stop command: 2021-07-08 20:46:12 (1625791572.24761) Elapsed time for command: 00:02:32 (152.242025852203) Workload elapsed time (copy 0 workload 1) = 24.133571 seconds Workload elapsed time (copy 0 workload 2) = 61.767368 seconds Workload elapsed time (copy 0 workload 3) = 66.184769 seconds Copy 0 of 625.x264_s (peak refspeed) run 3 finished at 2021-07-08 20:46:12. Total elapsed time: 152.085708 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'imagevalidate_frame_1100.out' with reltol=0.085 comparing 'imagevalidate_frame_1249.out' with reltol=0.085 comparing 'imagevalidate_frame_200.out' with reltol=0.085 comparing 'imagevalidate_frame_400.out' with reltol=0.085 comparing 'imagevalidate_frame_600.out' with reltol=0.085 comparing 'imagevalidate_frame_700.out' with reltol=0.085 comparing 'imagevalidate_frame_800.out' with reltol=0.085 comparing 'imagevalidate_frame_900.out' with reltol=0.085 comparing 'imagevalidate_frame_999.out' with reltol=0.085 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/625.x264_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 20:46:12 (1625791572.32289) Stop command: 2021-07-08 20:46:13 (1625791573.35811) Elapsed time for command: 00:00:01 (1.03522706031799) Benchmark Times: Run Start: 2021-07-08 20:43:40 (1625791420) Run Stop: 2021-07-08 20:46:12 (1625791572) Run Elapsed: 00:02:32 (152) Run Reported: 00:02:32 (152 85772000 152.085772) Success 625.x264_s peak refspeed ratio=11.60, runtime=152.085772, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 631.deepsjeng_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:46:13] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -o ref.out -e ref.err ../run_peak_refspeed_x86_64-m64.0000/deepsjeng_s_peak.x86_64-m64 ref.txt > ref.out 2>> ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:46:13 (1625791573.43723) Stop command: 2021-07-08 20:50:31 (1625791831.90319) Elapsed time for command: 00:04:18 (258.46596288681) Workload elapsed time (copy 0 workload 1) = 258.308895 seconds Copy 0 of 631.deepsjeng_s (peak refspeed) run 3 finished at 2021-07-08 20:50:31. Total elapsed time: 258.308895 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref.out' with obiwan=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/631.deepsjeng_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 20:50:31 (1625791831.96939) Stop command: 2021-07-08 20:50:32 (1625791832.22132) Elapsed time for command: 00:00:00 (0.251926898956299) Benchmark Times: Run Start: 2021-07-08 20:46:13 (1625791573) Run Stop: 2021-07-08 20:50:31 (1625791831) Run Elapsed: 00:04:18 (258) Run Reported: 00:04:18 (258 309006000 258.309006) Success 631.deepsjeng_s peak refspeed ratio=5.55, runtime=258.309006, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 641.leela_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:50:32] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -o ref.out -e ref.err ../run_peak_refspeed_x86_64-m64.0000/leela_s_peak.x86_64-m64 ref.sgf > ref.out 2>> ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:50:32 (1625791832.30091) Stop command: 2021-07-08 20:55:48 (1625792148.89904) Elapsed time for command: 00:05:16 (316.598133087158) Workload elapsed time (copy 0 workload 1) = 316.441098 seconds Copy 0 of 641.leela_s (peak refspeed) run 3 finished at 2021-07-08 20:55:48. Total elapsed time: 316.441098 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ref.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/641.leela_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 20:55:48 (1625792148.9271) Stop command: 2021-07-08 20:55:49 (1625792149.16656) Elapsed time for command: 00:00:00 (0.239457845687866) Benchmark Times: Run Start: 2021-07-08 20:50:32 (1625791832) Run Stop: 2021-07-08 20:55:48 (1625792148) Run Elapsed: 00:05:16 (316) Run Reported: 00:05:16 (316 441145000 316.441145) Success 641.leela_s peak refspeed ratio=5.39, runtime=316.441145, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 648.exchange2_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:55:49] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -o exchange2.txt -e exchange2.err ../run_peak_refspeed_x86_64-m64.0000/exchange2_s_peak.x86_64-m64 6 > exchange2.txt 2>> exchange2.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:55:49 (1625792149.24656) Stop command: 2021-07-08 20:59:16 (1625792356.18842) Elapsed time for command: 00:03:26 (206.941854953766) Workload elapsed time (copy 0 workload 1) = 206.784787 seconds Copy 0 of 648.exchange2_s (peak refspeed) run 3 finished at 2021-07-08 20:59:16. Total elapsed time: 206.784787 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 's.txt' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/648.exchange2_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 20:59:16 (1625792356.21388) Stop command: 2021-07-08 20:59:16 (1625792356.44973) Elapsed time for command: 00:00:00 (0.235849142074585) Benchmark Times: Run Start: 2021-07-08 20:55:49 (1625792149) Run Stop: 2021-07-08 20:59:16 (1625792356) Run Elapsed: 00:03:27 (207) Run Reported: 00:03:26 (206 784834000 206.784834) Success 648.exchange2_s peak refspeed ratio=14.22, runtime=206.784834, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 657.xz_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 20:59:16] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -o cpu2006docs.tar-6643-4.out -e cpu2006docs.tar-6643-4.err ../run_peak_refspeed_x86_64-m64.0000/xz_s_peak.x86_64-m64 cpu2006docs.tar.xz 6643 055ce243071129412e9dd0b3b69a21654033a9b723d874b2015c774fac1553d9713be561ca86f74e4f16f22e664fc17a79f30caa5ad2c04fbc447549c2810fae 1036078272 1111795472 4 > cpu2006docs.tar-6643-4.out 2>> cpu2006docs.tar-6643-4.err (timed) -o cld.tar-1400-8.out -e cld.tar-1400-8.err ../run_peak_refspeed_x86_64-m64.0000/xz_s_peak.x86_64-m64 cld.tar.xz 1400 19cf30ae51eddcbefda78dd06014b4b96281456e078ca7c13e1c0c9e6aaea8dff3efb4ad6b0456697718cede6bd5454852652806a657bb56e07d61128434b474 536995164 539938872 8 > cld.tar-1400-8.out 2>> cld.tar-1400-8.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 20:59:16 (1625792356.52907) Stop command: 2021-07-08 21:12:42 (1625793162.54145) Elapsed time for command: 00:13:26 (806.012374162674) Workload elapsed time (copy 0 workload 1) = 310.86937 seconds Workload elapsed time (copy 0 workload 2) = 494.947967 seconds Copy 0 of 657.xz_s (peak refspeed) run 3 finished at 2021-07-08 21:12:42. Total elapsed time: 805.817337 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'cld.tar-1400-8.out' with comparing 'cpu2006docs.tar-6643-4.out' with Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/657.xz_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 21:12:42 (1625793162.81108) Stop command: 2021-07-08 21:12:43 (1625793163.16772) Elapsed time for command: 00:00:00 (0.356633901596069) Benchmark Times: Run Start: 2021-07-08 20:59:16 (1625792356) Run Stop: 2021-07-08 21:12:42 (1625793162) Run Elapsed: 00:13:26 (806) Run Reported: 00:13:25 (805 818364000 805.818364) Success 657.xz_s peak refspeed ratio=7.67, runtime=805.818364, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 998.specrand_is refspeed (ref) peak x86_64-m64 threads:4 [2021-07-08 21:12:43] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -o rand.234923.out -e rand.234923.err ../run_peak_refspeed_x86_64-m64.0000/specrand_is_peak.x86_64-m64 1255432124 234923 > rand.234923.out 2>> rand.234923.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 21:12:43 (1625793163.30506) Stop command: 2021-07-08 21:12:43 (1625793163.49812) Elapsed time for command: 00:00:00 (0.19306206703186) Workload elapsed time (copy 0 workload 1) = 0.037316 seconds Copy 0 of 998.specrand_is (peak refspeed) run 3 finished at 2021-07-08 21:12:43. Total elapsed time: 0.037316 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'rand.234923.out' with floatcompare=1, nansupport=0 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/998.specrand_is/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 21:12:43 (1625793163.52591) Stop command: 2021-07-08 21:12:44 (1625793164.2228) Elapsed time for command: 00:00:00 (0.696890115737915) Benchmark Times: Run Start: 2021-07-08 21:12:43 (1625793163) Run Stop: 2021-07-08 21:12:43 (1625793163) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 37349000 0.037349) Success 998.specrand_is peak refspeed ratio=267.74, runtime=0.037349, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% Success: 6x600.perlbench_s 6x602.gcc_s 6x605.mcf_s 6x623.xalancbmk_s 6x625.x264_s 6x631.deepsjeng_s 6x641.leela_s 6x648.exchange2_s 6x657.xz_s 6x998.specrand_is Error: 6x620.omnetpp_s Producing Raw Reports label: x86_64-m64 workload: refspeed (ref) benchset: intspeed metric: SPECspeed2017_int_base, SPECspeed2017_int_peak format: raw -> /notnfs/vmakarov/spec2017/result/CPU2017.044.intspeed.refspeed.rsf Parsing flags for 600.perlbench_s base: done Parsing flags for 600.perlbench_s peak: done Parsing flags for 602.gcc_s base: done Parsing flags for 602.gcc_s peak: done Parsing flags for 605.mcf_s base: done Parsing flags for 605.mcf_s peak: done Parsing flags for 620.omnetpp_s base: done Parsing flags for 620.omnetpp_s peak: done Parsing flags for 623.xalancbmk_s base: done Parsing flags for 623.xalancbmk_s peak: done Parsing flags for 625.x264_s base: done Parsing flags for 625.x264_s peak: done Parsing flags for 631.deepsjeng_s base: done Parsing flags for 631.deepsjeng_s peak: done Parsing flags for 641.leela_s base: done Parsing flags for 641.leela_s peak: done Parsing flags for 648.exchange2_s base: done Parsing flags for 648.exchange2_s peak: done Parsing flags for 657.xz_s base: done Parsing flags for 657.xz_s peak: done Doing flag reduction: done format: flags -> /notnfs/vmakarov/spec2017/result/CPU2017.044.intspeed.refspeed.flags.html format: cfg -> /notnfs/vmakarov/spec2017/result/CPU2017.044.intspeed.refspeed.cfg format: CSV -> /notnfs/vmakarov/spec2017/result/CPU2017.044.intspeed.refspeed.csv format: PDF -> A line in your General Notes section is too long! /notnfs/vmakarov/spec2017/result/CPU2017.044.intspeed.refspeed.pdf format: HTML -> /notnfs/vmakarov/spec2017/result/CPU2017.044.intspeed.refspeed.html format: Text -> /notnfs/vmakarov/spec2017/result/CPU2017.044.intspeed.refspeed.txt benchset: intrate benchset: fpspeed benchset: fprate runcpu finished at 2021-07-08 21:12:52; 19619 total seconds elapsed ------------------------------------------------------------------------------- Setting up environment for running fpspeed... Starting runcpu for fpspeed... Running "specperl /notnfs/vmakarov/spec2017/bin/sysinfo" to gather system information. sysinfo: r5797 of 2017-06-14 (96c45e4568ad54c135fd618bcc091c0f) sysinfo: Getting system information for Linux... sysinfo: ...getting CPU info sysinfo: ...getting info from numactl sysinfo: ...getting memory info sysinfo: ...getting OS info sysinfo: ...getting disk info sysinfo: ...trying to get DIMM info from dmidecode Read 104 info lines from the sysinfo program. lib64/:%{gcc_dir}/lib/:/lib64" OMP_STACKSIZE = "120M" Benchmarks selected: 603.bwaves_s, 607.cactuBSSN_s, 619.lbm_s, 621.wrf_s, 627.cam4_s, 628.pop2_s, 638.imagick_s, 644.nab_s, 649.fotonik3d_s, 654.roms_s, 996.specrand_fs Compiling Binaries ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 603.bwaves_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1" O: OPTIMIZE="-O2 -mtune=generic" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Iinclude -DCCODE" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Iinclude -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-Iinclude -DFCODE" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: CC_VERSION_OPTION: FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 607.cactuBSSN_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DLARGE_WORKLOAD -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DLARGE_WORKLOAD" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 619.lbm_s base x86_64-m64 ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -O2 -mtune=generic -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 621.wrf_s base x86_64-m64 ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I. -Iinclude -Inetcdf/include" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Iinclude -Inetcdf/include -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I. -Iinclude -Inetcdf/include" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: PORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 627.cam4_s base x86_64-m64 ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -O2 -mtune=generic -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -O2 -mtune=generic -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 628.pop2_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 638.imagick_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 644.nab_s base x86_64-m64 ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_FFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 649.fotonik3d_s base x86_64-m64 ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -O2 -mtune=generic -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I." O: OPTIMIZE="-O2 -mtune=generic" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 654.roms_s base x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand-common -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand-common" P: BENCH_CFLAGS="" O: OPTIMIZE="-O2 -mtune=generic" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -O2 -mtune=generic -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-O2 -mtune=generic" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 996.specrand_fs base x86_64-m64 ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -fno-stack-arrays " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="-fno-stack-arrays" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -fno-stack-arrays -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="-fno-stack-arrays" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 603.bwaves_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1 -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CXXC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" C: CXXOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CXXFLAGS="-Iinclude -DCCODE -DCCTK_DISABLE_RESTRICT=1" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: CXXOPTIMIZE="" P: PORTABILITY="" P: CXXPORTABILITY="" O: EXTRA_CXXFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_CXXOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CXXPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Iinclude -DCCODE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-Iinclude -DCCODE" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Iinclude -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-Iinclude -DFCODE" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CXXC_VERSION_OPTION: CC_VERSION_OPTION: FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/g++ COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 607.cactuBSSN_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DLARGE_WORKLOAD -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DLARGE_WORKLOAD" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 619.lbm_s peak x86_64-m64 ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) FPP: "specpp -DSPEC -DNDEBUG -DDM_PARALLEL -DSTUBMPI -w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-DDM_PARALLEL -DSTUBMPI" P: BENCH_FPPFLAGS="-w -m literal.pm -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DEM_CORE=1 -DNMM_CORE=0 -DNMM_MAX_DIM=2600 -DCOAMPS_CORE=0 -DDA_CORE=0 -DEXP_CORE=0 -DIWORDSIZE=4 -DDWORDSIZE=8 -DRWORDSIZE=4 -DLWORDSIZE=4 -DNETCDF -DINTIO -DCONFIG_BUF_LEN=32768 -DMAX_DOMAINS_F=21 -DNMM_NEST=0 -DMAX_HISTORY=25" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -I./inc -I./netcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I. -I./inc -I./netcdf/include -DDM_PARALLEL -DSTUBMPI" P: BENCH_CFLAGS="-DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 621.wrf_s peak x86_64-m64 ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2 -DSPEC_CASE_FLAG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -w -DHIDE_MPI -D_MPISERIAL -DNO_MPI2" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I. -Iinclude -Inetcdf/include" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: PORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Iinclude -Inetcdf/include -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I. -Iinclude -Inetcdf/include" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-DNO_SHR_VMATH -DCO2A -DPERGRO -DPLON=144 -DPLAT=96 -DPLEV=26 -DPCNST=3 -DPCOLS=4 -DPTRM=1 -DPTRN=1 -DPTRK=1 -DSTAGGERED -D_NETCDF -DNO_R16 -I. -Iinclude -Inetcdf/include -DUSE_COSP -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="-DSPEC_CASE_FLAG" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: PORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 627.cam4_s peak x86_64-m64 ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-w -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678 -Ofast -mtune=corei7 -march=core-avx2 -flto -DSPEC_CASE_FLAG -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_CFLAGS="-I. -Inetcdf/include -D_MPISERIAL -D_NETCDF -D_USEBOX -DCCSMCOUPLED=1 -DNO_SHR_VMATH -DBLCKX=50 -DBLCKY=4 -DMXBLCKS=58 -DNO_GETTIMEOFDAY -DSPEC_AUTO_BYTEORDER=0x12345678" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="-DSPEC_CASE_FLAG" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fconvert=big-endian -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" P: FPORTABILITY="-fconvert=big-endian" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 628.pop2_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 638.imagick_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand -Iregex-alpha -DNDEBUG -DNOPERFLIB -DNOREDUCE" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -lm -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: MATH_LIBS="-lm" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 644.nab_s peak x86_64-m64 ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-I." P: BENCH_FFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 649.fotonik3d_s peak x86_64-m64 ------------------------------------------------------------------------ FPP: "specpp -DSPEC -DNDEBUG -w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY -DSPEC_OPENMP -DSPEC_LP64 -o .fppized.f9x" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FPPFLAGS="-w -m literal-single.pm -m c-comment.pm -I. -DBENCHMARK -DNestedGrids=1 -DNO_GETTIMEOFDAY" O: OPTIMIZE="" O: EXTRA_OPTIMIZE="-DSPEC_OPENMP" O: FPPOPTIMIZE="" O: EXTRA_FPPOPTIMIZE="" O: FOPTIMIZE="" O: EXTRA_FOPTIMIZE="" P: PORTABILITY="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: FPORTABILITY="" P: EXTRA_FPORTABILITY="" COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -c -o options.o -I. -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp " C: FC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" C: FOBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="" P: BENCH_FFLAGS="-I." O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: FOPTIMIZE="" P: PORTABILITY="" P: FPORTABILITY="" O: EXTRA_FFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_FOPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_FPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran -fallow-argument-mismatch" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" FC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gfortran COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 654.roms_s peak x86_64-m64 ------------------------------------------------------------------------ COMP: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -c -o options.o -DSPEC -DNDEBUG -Ispecrand-common -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -DSPEC_LP64 " C: CC="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" C: COBJOPT="-c -o options" P: CPUFLAGS="-DSPEC -DNDEBUG" P: BENCH_FLAGS="-Ispecrand-common" P: BENCH_CFLAGS="" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: COPTIMIZE="" P: PORTABILITY="" P: CPORTABILITY="" O: EXTRA_CFLAGS="" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" O: EXTRA_COPTIMIZE="" P: EXTRA_PORTABILITY="-DSPEC_LP64" P: EXTRA_CPORTABILITY="" LINK: "/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon -Ofast -mtune=corei7 -march=core-avx2 -flto -fopenmp -DSPEC_OPENMP -o options " C: LD="/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc -fcommon" O: OPTIMIZE="-Ofast -mtune=corei7 -march=core-avx2 -flto" O: EXTRA_OPTIMIZE="-fopenmp -DSPEC_OPENMP" C: LDOUT="-o options" CC_VERSION_OPTION: Using built-in specs. COLLECT_GCC=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/bin/gcc COLLECT_LTO_WRAPPER=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8/libexec/gcc/x86_64-pc-linux-gnu/12.0.0/lto-wrapper Target: x86_64-pc-linux-gnu Configured with: /notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src/configure --prefix=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/inst.ton8 --srcdir=/notnfs/vmakarov/perf/sbox/gcc/local.spec2017.x86_64/src --disable-bootstrap --disable-libcilkrts --enable-checking=release --enable-languages=c,c++,fortran Thread model: posix Supported LTO compression algorithms: zlib gcc version 12.0.0 20210708 (experimental) (GCC) Up to date 996.specrand_fs peak x86_64-m64 Setting Up Run Directories Setting up 603.bwaves_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 607.cactuBSSN_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 619.lbm_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 621.wrf_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 627.cam4_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 628.pop2_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 638.imagick_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 644.nab_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 649.fotonik3d_s refspeed (ref) base x86_64-m64: OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -f inputgen.cmd -e inputgen.err -o inputgen.stdout' Start command: 2021-07-08 21:13:14 (1625793194.13622) Stop command: 2021-07-08 21:13:14 (1625793194.93678) Elapsed time for command: 00:00:00 (0.800566911697388) Input generation total elapsed time = 0 seconds Input generation elapsed time (0:1) = 0.643554 seconds run_base_refspeed_x86_64-m64.0000 Setting up 654.roms_s refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 996.specrand_fs refspeed (ref) base x86_64-m64: run_base_refspeed_x86_64-m64.0000 Setting up 603.bwaves_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 607.cactuBSSN_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 619.lbm_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 621.wrf_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 627.cam4_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 628.pop2_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 638.imagick_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 644.nab_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 649.fotonik3d_s refspeed (ref) peak x86_64-m64: OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -f inputgen.cmd -e inputgen.err -o inputgen.stdout' Start command: 2021-07-08 21:13:19 (1625793199.43071) Stop command: 2021-07-08 21:13:20 (1625793200.24481) Elapsed time for command: 00:00:00 (0.814095020294189) Input generation total elapsed time = 1 seconds Input generation elapsed time (0:1) = 0.657055 seconds run_peak_refspeed_x86_64-m64.0000 Setting up 654.roms_s refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 Setting up 996.specrand_fs refspeed (ref) peak x86_64-m64: run_peak_refspeed_x86_64-m64.0000 ----------------------------------- Running Benchmarks ----------------------------------- Running (#1) 603.bwaves_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 21:13:21] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -i bwaves_1.in -o bwaves_1.out -e bwaves_1.err ../run_base_refspeed_x86_64-m64.0000/speed_bwaves_base.x86_64-m64 bwaves_1 < bwaves_1.in > bwaves_1.out 2>> bwaves_1.err (timed) -i bwaves_2.in -o bwaves_2.out -e bwaves_2.err ../run_base_refspeed_x86_64-m64.0000/speed_bwaves_base.x86_64-m64 bwaves_2 < bwaves_2.in > bwaves_2.out 2>> bwaves_2.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 21:13:21 (1625793201.63218) Stop command: 2021-07-08 21:58:44 (1625795924.28188) Elapsed time for command: 00:45:22 (2722.64970183372) Workload elapsed time (copy 0 workload 1) = 1379.090879 seconds Workload elapsed time (copy 0 workload 2) = 1343.40165 seconds Copy 0 of 603.bwaves_s (base refspeed) run 1 finished at 2021-07-08 21:58:44. Total elapsed time: 2722.492529 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'bwaves_1.out' with abstol=1e-16, reltol=0.015 comparing 'bwaves_2.out' with abstol=1e-16, reltol=0.015 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 21:58:44 (1625795924.31101) Stop command: 2021-07-08 21:58:44 (1625795924.63499) Elapsed time for command: 00:00:00 (0.32398796081543) Benchmark Times: Run Start: 2021-07-08 21:13:21 (1625793201) Run Stop: 2021-07-08 21:58:44 (1625795924) Run Elapsed: 00:45:23 (2723) Run Reported: 00:45:22 (2722 492635000 2722.492635) Success 603.bwaves_s base refspeed ratio=21.67, runtime=2722.492635, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 607.cactuBSSN_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 21:58:44] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -o spec_ref.out -e spec_ref.err ../run_base_refspeed_x86_64-m64.0000/cactuBSSN_s_base.x86_64-m64 spec_ref.par > spec_ref.out 2>> spec_ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 21:58:44 (1625795924.68438) Stop command: 2021-07-08 22:09:42 (1625796582.76376) Elapsed time for command: 00:10:58 (658.079378128052) Workload elapsed time (copy 0 workload 1) = 657.922355 seconds Copy 0 of 607.cactuBSSN_s (base refspeed) run 1 finished at 2021-07-08 22:09:42. Total elapsed time: 657.922355 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'gxx.xl' with abstol=5e-13, floatcompare=1 comparing 'gxy.xl' with abstol=5e-13, floatcompare=1 comparing 'spec_ref.out' with floatcompare=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 22:09:42 (1625796582.82654) Stop command: 2021-07-08 22:09:43 (1625796583.341) Elapsed time for command: 00:00:00 (0.514464855194092) Benchmark Times: Run Start: 2021-07-08 21:58:44 (1625795924) Run Stop: 2021-07-08 22:09:42 (1625796582) Run Elapsed: 00:10:58 (658) Run Reported: 00:10:57 (657 922402000 657.922402) Success 607.cactuBSSN_s base refspeed ratio=25.34, runtime=657.922402, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 619.lbm_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 22:09:43] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -o lbm.out -e lbm.err ../run_base_refspeed_x86_64-m64.0000/lbm_s_base.x86_64-m64 2000 reference.dat 0 0 200_200_260_ldc.of > lbm.out 2>> lbm.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 22:09:43 (1625796583.36819) Stop command: 2021-07-08 22:42:41 (1625798561.48985) Elapsed time for command: 00:32:58 (1978.1216609478) Workload elapsed time (copy 0 workload 1) = 1977.964332 seconds Copy 0 of 619.lbm_s (base refspeed) run 1 finished at 2021-07-08 22:42:41. Total elapsed time: 1977.964332 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'lbm.out' with abstol=1e-07 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 22:42:41 (1625798561.51763) Stop command: 2021-07-08 22:42:41 (1625798561.75914) Elapsed time for command: 00:00:00 (0.241509199142456) Benchmark Times: Run Start: 2021-07-08 22:09:43 (1625796583) Run Stop: 2021-07-08 22:42:41 (1625798561) Run Elapsed: 00:32:58 (1978) Run Reported: 00:32:57 (1977 964502000 1977.964502) Success 619.lbm_s base refspeed ratio=2.65, runtime=1977.964502, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 621.wrf_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 22:42:42] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -o rsl.out.0000 -e wrf.err ../run_base_refspeed_x86_64-m64.0000/wrf_s_base.x86_64-m64 > rsl.out.0000 2>> wrf.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 22:42:42 (1625798562.04323) Stop command: 2021-07-08 22:58:16 (1625799496.01883) Elapsed time for command: 00:15:33 (933.975603103638) Workload elapsed time (copy 0 workload 1) = 933.819272 seconds Copy 0 of 621.wrf_s (base refspeed) run 1 finished at 2021-07-08 22:58:15. Total elapsed time: 933.819272 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'diffwrf_output_01.txt' with cw=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 22:58:16 (1625799496.04973) Stop command: 2021-07-08 22:58:16 (1625799496.5301) Elapsed time for command: 00:00:00 (0.480369091033936) Benchmark Times: Run Start: 2021-07-08 22:42:42 (1625798562) Run Stop: 2021-07-08 22:58:16 (1625799496) Run Elapsed: 00:15:34 (934) Run Reported: 00:15:33 (933 819314000 933.819314) Success 621.wrf_s base refspeed ratio=14.16, runtime=933.819314, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 627.cam4_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 22:58:16] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -o cam4_s_base.x86_64-m64.txt -e cam4_s_base.x86_64-m64.err ../run_base_refspeed_x86_64-m64.0000/cam4_s_base.x86_64-m64 > cam4_s_base.x86_64-m64.txt 2>> cam4_s_base.x86_64-m64.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 22:58:16 (1625799496.71082) Stop command: 2021-07-08 23:10:16 (1625800216.97679) Elapsed time for command: 00:12:00 (720.265972137451) Workload elapsed time (copy 0 workload 1) = 720.108428 seconds Copy 0 of 627.cam4_s (base refspeed) run 1 finished at 2021-07-08 23:10:16. Total elapsed time: 720.108428 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'cam4_validate.txt' with cw=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 23:10:17 (1625800217.04445) Stop command: 2021-07-08 23:10:17 (1625800217.32262) Elapsed time for command: 00:00:00 (0.278165817260742) Benchmark Times: Run Start: 2021-07-08 22:58:16 (1625799496) Run Stop: 2021-07-08 23:10:16 (1625800216) Run Elapsed: 00:12:00 (720) Run Reported: 00:12:00 (720 108526000 720.108526) Success 627.cam4_s base refspeed ratio=12.31, runtime=720.108526, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 628.pop2_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 23:10:18] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -o pop2_s.out -e pop2_s.err ../run_base_refspeed_x86_64-m64.0000/speed_pop2_base.x86_64-m64 > pop2_s.out 2>> pop2_s.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 23:10:18 (1625800218.304) Stop command: 2021-07-08 23:23:15 (1625800995.30055) Elapsed time for command: 00:12:56 (776.996551036835) Workload elapsed time (copy 0 workload 1) = 776.839469 seconds Copy 0 of 628.pop2_s (base refspeed) run 1 finished at 2021-07-08 23:23:15. Total elapsed time: 776.839469 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ocn.log' with abstol=0.03, reltol=0.03 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 23:23:15 (1625800995.3827) Stop command: 2021-07-08 23:23:15 (1625800995.72081) Elapsed time for command: 00:00:00 (0.338102102279663) Benchmark Times: Run Start: 2021-07-08 23:10:18 (1625800218) Run Stop: 2021-07-08 23:23:15 (1625800995) Run Elapsed: 00:12:57 (777) Run Reported: 00:12:56 (776 839522000 776.839522) Success 628.pop2_s base refspeed ratio=15.28, runtime=776.839522, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 638.imagick_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 23:23:15] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -o refspeed_convert.out -e refspeed_convert.err ../run_base_refspeed_x86_64-m64.0000/imagick_s_base.x86_64-m64 -limit disk 0 refspeed_input.tga -resize 817% -rotate -2.76 -shave 540x375 -alpha remove -auto-level -contrast-stretch 1x1% -colorspace Lab -channel R -equalize +channel -colorspace sRGB -define histogram:unique-colors=false -adaptive-blur 0x5 -despeckle -auto-gamma -adaptive-sharpen 55 -enhance -brightness-contrast 10x10 -resize 30% refspeed_output.tga > refspeed_convert.out 2>> refspeed_convert.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 23:23:15 (1625800995.76129) Stop command: 2021-07-08 23:44:02 (1625802242.05182) Elapsed time for command: 00:20:46 (1246.29053092003) Workload elapsed time (copy 0 workload 1) = 1246.133299 seconds Copy 0 of 638.imagick_s (base refspeed) run 1 finished at 2021-07-08 23:44:01. Total elapsed time: 1246.133299 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'refspeed_validate.out' with reltol=0.01 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 23:44:02 (1625802242.12633) Stop command: 2021-07-08 23:44:02 (1625802242.70174) Elapsed time for command: 00:00:00 (0.575413942337036) Benchmark Times: Run Start: 2021-07-08 23:23:15 (1625800995) Run Stop: 2021-07-08 23:44:02 (1625802242) Run Elapsed: 00:20:47 (1247) Run Reported: 00:20:46 (1246 133469000 1246.133469) Success 638.imagick_s base refspeed ratio=11.58, runtime=1246.133469, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 644.nab_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 23:44:02] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -o 3j1n.out -e 3j1n.err ../run_base_refspeed_x86_64-m64.0000/nab_s_base.x86_64-m64 3j1n 20140317 220 > 3j1n.out 2>> 3j1n.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 23:44:02 (1625802242.72981) Stop command: 2021-07-08 23:55:04 (1625802904.39389) Elapsed time for command: 00:11:01 (661.664072990417) Workload elapsed time (copy 0 workload 1) = 661.510051 seconds Copy 0 of 644.nab_s (base refspeed) run 1 finished at 2021-07-08 23:55:04. Total elapsed time: 661.510051 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing '3j1n.out' with reltol=0.01, skipreltol=2 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-08 23:55:04 (1625802904.44248) Stop command: 2021-07-08 23:55:04 (1625802904.68687) Elapsed time for command: 00:00:00 (0.244388103485107) Benchmark Times: Run Start: 2021-07-08 23:44:02 (1625802242) Run Stop: 2021-07-08 23:55:04 (1625802904) Run Elapsed: 00:11:02 (662) Run Reported: 00:11:01 (661 510095000 661.510095) Success 644.nab_s base refspeed ratio=26.41, runtime=661.510095, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 649.fotonik3d_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-08 23:55:04] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -o fotonik3d_s.log -e fotonik3d_s.err ../run_base_refspeed_x86_64-m64.0000/fotonik3d_s_base.x86_64-m64 > fotonik3d_s.log 2>> fotonik3d_s.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-08 23:55:04 (1625802904.75874) Stop command: 2021-07-09 00:17:35 (1625804255.24149) Elapsed time for command: 00:22:30 (1350.48274588585) Workload elapsed time (copy 0 workload 1) = 1350.324383 seconds Copy 0 of 649.fotonik3d_s (base refspeed) run 1 finished at 2021-07-09 00:17:35. Total elapsed time: 1350.324383 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'pscyee.out' with abstol=1e-27, floatcompare=1, obiwan=1, reltol=1e-10 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 00:17:35 (1625804255.26974) Stop command: 2021-07-09 00:17:35 (1625804255.61509) Elapsed time for command: 00:00:00 (0.345350980758667) Benchmark Times: Run Start: 2021-07-08 23:55:04 (1625802904) Run Stop: 2021-07-09 00:17:35 (1625804255) Run Elapsed: 00:22:31 (1351) Run Reported: 00:22:30 (1350 324616000 1350.324616) Success 649.fotonik3d_s base refspeed ratio=6.75, runtime=1350.324616, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 654.roms_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 00:17:35] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') roms_s: Prerun decomposition for 4 threads: NtileI=4 NtileJ=4 Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -i ocean_benchmark3.in -o ocean_benchmark3.log -e ocean_benchmark3.err ../run_base_refspeed_x86_64-m64.0000/sroms_base.x86_64-m64 < ocean_benchmark3.in > ocean_benchmark3.log 2>> ocean_benchmark3.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 00:17:35 (1625804255.68675) Stop command: 2021-07-09 00:57:53 (1625806673.18601) Elapsed time for command: 00:40:17 (2417.49926590919) Workload elapsed time (copy 0 workload 1) = 2417.343564 seconds Copy 0 of 654.roms_s (base refspeed) run 1 finished at 2021-07-09 00:57:53. Total elapsed time: 2417.343564 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ocean_benchmark3.log' with abstol=1e-07, reltol=1e-07 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 00:57:53 (1625806673.25444) Stop command: 2021-07-09 00:57:53 (1625806673.49638) Elapsed time for command: 00:00:00 (0.241941928863525) Benchmark Times: Run Start: 2021-07-09 00:17:35 (1625804255) Run Stop: 2021-07-09 00:57:53 (1625806673) Run Elapsed: 00:40:18 (2418) Run Reported: 00:40:17 (2417 343782000 2417.343782) Success 654.roms_s base refspeed ratio=6.51, runtime=2417.343782, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 996.specrand_fs refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 00:57:53] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -o rand.234923.out -e rand.234923.err ../run_base_refspeed_x86_64-m64.0000/specrand_fs_base.x86_64-m64 1255432124 234923 > rand.234923.out 2>> rand.234923.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 00:57:53 (1625806673.57106) Stop command: 2021-07-09 00:57:53 (1625806673.7695) Elapsed time for command: 00:00:00 (0.198441028594971) Workload elapsed time (copy 0 workload 1) = 0.040995 seconds Copy 0 of 996.specrand_fs (base refspeed) run 1 finished at 2021-07-09 00:57:53. Total elapsed time: 0.040995 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'rand.234923.out' with floatcompare=1, nansupport=0 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 00:57:53 (1625806673.83397) Stop command: 2021-07-09 00:57:54 (1625806674.53818) Elapsed time for command: 00:00:00 (0.704205989837646) Benchmark Times: Run Start: 2021-07-09 00:57:53 (1625806673) Run Stop: 2021-07-09 00:57:53 (1625806673) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 41034000 0.041034) Success 996.specrand_fs base refspeed ratio=243.70, runtime=0.041034, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 603.bwaves_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 00:57:54] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -i bwaves_1.in -o bwaves_1.out -e bwaves_1.err ../run_base_refspeed_x86_64-m64.0000/speed_bwaves_base.x86_64-m64 bwaves_1 < bwaves_1.in > bwaves_1.out 2>> bwaves_1.err (timed) -i bwaves_2.in -o bwaves_2.out -e bwaves_2.err ../run_base_refspeed_x86_64-m64.0000/speed_bwaves_base.x86_64-m64 bwaves_2 < bwaves_2.in > bwaves_2.out 2>> bwaves_2.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 00:57:54 (1625806674.56657) Stop command: 2021-07-09 01:43:22 (1625809402.19849) Elapsed time for command: 00:45:27 (2727.63192009926) Workload elapsed time (copy 0 workload 1) = 1378.896404 seconds Workload elapsed time (copy 0 workload 2) = 1348.57816 seconds Copy 0 of 603.bwaves_s (base refspeed) run 2 finished at 2021-07-09 01:43:22. Total elapsed time: 2727.474564 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'bwaves_1.out' with abstol=1e-16, reltol=0.015 comparing 'bwaves_2.out' with abstol=1e-16, reltol=0.015 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 01:43:22 (1625809402.2662) Stop command: 2021-07-09 01:43:22 (1625809402.59455) Elapsed time for command: 00:00:00 (0.328359842300415) Benchmark Times: Run Start: 2021-07-09 00:57:54 (1625806674) Run Stop: 2021-07-09 01:43:22 (1625809402) Run Elapsed: 00:45:28 (2728) Run Reported: 00:45:27 (2727 474740000 2727.47474) Success 603.bwaves_s base refspeed ratio=21.63, runtime=2727.474740, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 607.cactuBSSN_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 01:43:22] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -o spec_ref.out -e spec_ref.err ../run_base_refspeed_x86_64-m64.0000/cactuBSSN_s_base.x86_64-m64 spec_ref.par > spec_ref.out 2>> spec_ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 01:43:22 (1625809402.64665) Stop command: 2021-07-09 01:54:23 (1625810063.67659) Elapsed time for command: 00:11:01 (661.029943943024) Workload elapsed time (copy 0 workload 1) = 660.87607 seconds Copy 0 of 607.cactuBSSN_s (base refspeed) run 2 finished at 2021-07-09 01:54:23. Total elapsed time: 660.87607 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'gxx.xl' with abstol=5e-13, floatcompare=1 comparing 'gxy.xl' with abstol=5e-13, floatcompare=1 comparing 'spec_ref.out' with floatcompare=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 01:54:23 (1625810063.71131) Stop command: 2021-07-09 01:54:24 (1625810064.22747) Elapsed time for command: 00:00:00 (0.516165971755981) Benchmark Times: Run Start: 2021-07-09 01:43:22 (1625809402) Run Stop: 2021-07-09 01:54:23 (1625810063) Run Elapsed: 00:11:01 (661) Run Reported: 00:11:00 (660 876118000 660.876118) Success 607.cactuBSSN_s base refspeed ratio=25.22, runtime=660.876118, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 619.lbm_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 01:54:24] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -o lbm.out -e lbm.err ../run_base_refspeed_x86_64-m64.0000/lbm_s_base.x86_64-m64 2000 reference.dat 0 0 200_200_260_ldc.of > lbm.out 2>> lbm.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 01:54:24 (1625810064.25507) Stop command: 2021-07-09 02:27:22 (1625812042.57509) Elapsed time for command: 00:32:58 (1978.32001209259) Workload elapsed time (copy 0 workload 1) = 1978.162746 seconds Copy 0 of 619.lbm_s (base refspeed) run 2 finished at 2021-07-09 02:27:22. Total elapsed time: 1978.162746 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'lbm.out' with abstol=1e-07 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 02:27:22 (1625812042.64092) Stop command: 2021-07-09 02:27:22 (1625812042.88694) Elapsed time for command: 00:00:00 (0.246015071868896) Benchmark Times: Run Start: 2021-07-09 01:54:24 (1625810064) Run Stop: 2021-07-09 02:27:22 (1625812042) Run Elapsed: 00:32:58 (1978) Run Reported: 00:32:58 (1978 162795000 1978.162795) Success 619.lbm_s base refspeed ratio=2.65, runtime=1978.162795, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 621.wrf_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 02:27:23] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -o rsl.out.0000 -e wrf.err ../run_base_refspeed_x86_64-m64.0000/wrf_s_base.x86_64-m64 > rsl.out.0000 2>> wrf.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 02:27:23 (1625812043.15458) Stop command: 2021-07-09 02:42:59 (1625812979.72744) Elapsed time for command: 00:15:36 (936.572864055634) Workload elapsed time (copy 0 workload 1) = 936.418154 seconds Copy 0 of 621.wrf_s (base refspeed) run 2 finished at 2021-07-09 02:42:59. Total elapsed time: 936.418154 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'diffwrf_output_01.txt' with cw=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 02:42:59 (1625812979.80845) Stop command: 2021-07-09 02:43:00 (1625812980.21143) Elapsed time for command: 00:00:00 (0.402976989746094) Benchmark Times: Run Start: 2021-07-09 02:27:23 (1625812043) Run Stop: 2021-07-09 02:42:59 (1625812979) Run Elapsed: 00:15:36 (936) Run Reported: 00:15:36 (936 418291000 936.418291) Success 621.wrf_s base refspeed ratio=14.12, runtime=936.418291, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 627.cam4_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 02:43:00] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -o cam4_s_base.x86_64-m64.txt -e cam4_s_base.x86_64-m64.err ../run_base_refspeed_x86_64-m64.0000/cam4_s_base.x86_64-m64 > cam4_s_base.x86_64-m64.txt 2>> cam4_s_base.x86_64-m64.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 02:43:00 (1625812980.39518) Stop command: 2021-07-09 02:54:58 (1625813698.31855) Elapsed time for command: 00:11:57 (717.923362016678) Workload elapsed time (copy 0 workload 1) = 717.766219 seconds Copy 0 of 627.cam4_s (base refspeed) run 2 finished at 2021-07-09 02:54:58. Total elapsed time: 717.766219 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'cam4_validate.txt' with cw=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 02:54:58 (1625813698.3883) Stop command: 2021-07-09 02:54:58 (1625813698.64348) Elapsed time for command: 00:00:00 (0.255178928375244) Benchmark Times: Run Start: 2021-07-09 02:43:00 (1625812980) Run Stop: 2021-07-09 02:54:58 (1625813698) Run Elapsed: 00:11:58 (718) Run Reported: 00:11:57 (717 766318000 717.766318) Success 627.cam4_s base refspeed ratio=12.35, runtime=717.766318, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 628.pop2_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 02:54:59] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -o pop2_s.out -e pop2_s.err ../run_base_refspeed_x86_64-m64.0000/speed_pop2_base.x86_64-m64 > pop2_s.out 2>> pop2_s.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 02:54:59 (1625813699.63488) Stop command: 2021-07-09 03:07:55 (1625814475.16598) Elapsed time for command: 00:12:55 (775.531102895737) Workload elapsed time (copy 0 workload 1) = 775.373919 seconds Copy 0 of 628.pop2_s (base refspeed) run 2 finished at 2021-07-09 03:07:55. Total elapsed time: 775.373919 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ocn.log' with abstol=0.03, reltol=0.03 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 03:07:55 (1625814475.23427) Stop command: 2021-07-09 03:07:55 (1625814475.5191) Elapsed time for command: 00:00:00 (0.284831047058105) Benchmark Times: Run Start: 2021-07-09 02:54:59 (1625813699) Run Stop: 2021-07-09 03:07:55 (1625814475) Run Elapsed: 00:12:56 (776) Run Reported: 00:12:55 (775 373973000 775.373973) Success 628.pop2_s base refspeed ratio=15.31, runtime=775.373973, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 638.imagick_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 03:07:55] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -o refspeed_convert.out -e refspeed_convert.err ../run_base_refspeed_x86_64-m64.0000/imagick_s_base.x86_64-m64 -limit disk 0 refspeed_input.tga -resize 817% -rotate -2.76 -shave 540x375 -alpha remove -auto-level -contrast-stretch 1x1% -colorspace Lab -channel R -equalize +channel -colorspace sRGB -define histogram:unique-colors=false -adaptive-blur 0x5 -despeckle -auto-gamma -adaptive-sharpen 55 -enhance -brightness-contrast 10x10 -resize 30% refspeed_output.tga > refspeed_convert.out 2>> refspeed_convert.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 03:07:55 (1625814475.56235) Stop command: 2021-07-09 03:28:43 (1625815723.13076) Elapsed time for command: 00:20:47 (1247.5684158802) Workload elapsed time (copy 0 workload 1) = 1247.411186 seconds Copy 0 of 638.imagick_s (base refspeed) run 2 finished at 2021-07-09 03:28:42. Total elapsed time: 1247.411186 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'refspeed_validate.out' with reltol=0.01 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 03:28:43 (1625815723.19891) Stop command: 2021-07-09 03:28:43 (1625815723.75772) Elapsed time for command: 00:00:00 (0.558807134628296) Benchmark Times: Run Start: 2021-07-09 03:07:55 (1625814475) Run Stop: 2021-07-09 03:28:43 (1625815723) Run Elapsed: 00:20:48 (1248) Run Reported: 00:20:47 (1247 411360000 1247.41136) Success 638.imagick_s base refspeed ratio=11.56, runtime=1247.411360, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 644.nab_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 03:28:43] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -o 3j1n.out -e 3j1n.err ../run_base_refspeed_x86_64-m64.0000/nab_s_base.x86_64-m64 3j1n 20140317 220 > 3j1n.out 2>> 3j1n.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 03:28:43 (1625815723.78545) Stop command: 2021-07-09 03:39:44 (1625816384.67788) Elapsed time for command: 00:11:00 (660.892430067062) Workload elapsed time (copy 0 workload 1) = 660.73549 seconds Copy 0 of 644.nab_s (base refspeed) run 2 finished at 2021-07-09 03:39:44. Total elapsed time: 660.73549 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing '3j1n.out' with reltol=0.01, skipreltol=2 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 03:39:44 (1625816384.75124) Stop command: 2021-07-09 03:39:44 (1625816384.99269) Elapsed time for command: 00:00:00 (0.241446971893311) Benchmark Times: Run Start: 2021-07-09 03:28:43 (1625815723) Run Stop: 2021-07-09 03:39:44 (1625816384) Run Elapsed: 00:11:01 (661) Run Reported: 00:11:00 (660 735537000 660.735537) Success 644.nab_s base refspeed ratio=26.44, runtime=660.735537, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 649.fotonik3d_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 03:39:45] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -o fotonik3d_s.log -e fotonik3d_s.err ../run_base_refspeed_x86_64-m64.0000/fotonik3d_s_base.x86_64-m64 > fotonik3d_s.log 2>> fotonik3d_s.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 03:39:45 (1625816385.06263) Stop command: 2021-07-09 04:02:15 (1625817735.54223) Elapsed time for command: 00:22:30 (1350.47960209846) Workload elapsed time (copy 0 workload 1) = 1350.322183 seconds Copy 0 of 649.fotonik3d_s (base refspeed) run 2 finished at 2021-07-09 04:02:15. Total elapsed time: 1350.322183 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'pscyee.out' with abstol=1e-27, floatcompare=1, obiwan=1, reltol=1e-10 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 04:02:15 (1625817735.56855) Stop command: 2021-07-09 04:02:15 (1625817735.9145) Elapsed time for command: 00:00:00 (0.345947980880737) Benchmark Times: Run Start: 2021-07-09 03:39:45 (1625816385) Run Stop: 2021-07-09 04:02:15 (1625817735) Run Elapsed: 00:22:30 (1350) Run Reported: 00:22:30 (1350 322240000 1350.32224) Success 649.fotonik3d_s base refspeed ratio=6.75, runtime=1350.322240, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 654.roms_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 04:02:15] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') roms_s: Prerun decomposition for 4 threads: NtileI=4 NtileJ=4 Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -i ocean_benchmark3.in -o ocean_benchmark3.log -e ocean_benchmark3.err ../run_base_refspeed_x86_64-m64.0000/sroms_base.x86_64-m64 < ocean_benchmark3.in > ocean_benchmark3.log 2>> ocean_benchmark3.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 04:02:15 (1625817735.98721) Stop command: 2021-07-09 04:42:37 (1625820157.34222) Elapsed time for command: 00:40:21 (2421.35500717163) Workload elapsed time (copy 0 workload 1) = 2421.197715 seconds Copy 0 of 654.roms_s (base refspeed) run 2 finished at 2021-07-09 04:42:37. Total elapsed time: 2421.197715 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ocean_benchmark3.log' with abstol=1e-07, reltol=1e-07 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 04:42:37 (1625820157.36856) Stop command: 2021-07-09 04:42:37 (1625820157.6078) Elapsed time for command: 00:00:00 (0.239235877990723) Benchmark Times: Run Start: 2021-07-09 04:02:15 (1625817735) Run Stop: 2021-07-09 04:42:37 (1625820157) Run Elapsed: 00:40:22 (2422) Run Reported: 00:40:21 (2421 197774000 2421.197774) Success 654.roms_s base refspeed ratio=6.50, runtime=2421.197774, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 996.specrand_fs refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 04:42:37] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -o rand.234923.out -e rand.234923.err ../run_base_refspeed_x86_64-m64.0000/specrand_fs_base.x86_64-m64 1255432124 234923 > rand.234923.out 2>> rand.234923.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 04:42:37 (1625820157.68221) Stop command: 2021-07-09 04:42:37 (1625820157.87989) Elapsed time for command: 00:00:00 (0.197680950164795) Workload elapsed time (copy 0 workload 1) = 0.040334 seconds Copy 0 of 996.specrand_fs (base refspeed) run 2 finished at 2021-07-09 04:42:37. Total elapsed time: 0.040334 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'rand.234923.out' with floatcompare=1, nansupport=0 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 04:42:37 (1625820157.94588) Stop command: 2021-07-09 04:42:38 (1625820158.64254) Elapsed time for command: 00:00:00 (0.696653842926025) Benchmark Times: Run Start: 2021-07-09 04:42:37 (1625820157) Run Stop: 2021-07-09 04:42:37 (1625820157) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 40371000 0.040371) Success 996.specrand_fs base refspeed ratio=247.70, runtime=0.040371, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 603.bwaves_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 04:42:38] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -i bwaves_1.in -o bwaves_1.out -e bwaves_1.err ../run_base_refspeed_x86_64-m64.0000/speed_bwaves_base.x86_64-m64 bwaves_1 < bwaves_1.in > bwaves_1.out 2>> bwaves_1.err (timed) -i bwaves_2.in -o bwaves_2.out -e bwaves_2.err ../run_base_refspeed_x86_64-m64.0000/speed_bwaves_base.x86_64-m64 bwaves_2 < bwaves_2.in > bwaves_2.out 2>> bwaves_2.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 04:42:38 (1625820158.6716) Stop command: 2021-07-09 05:27:56 (1625822876.51928) Elapsed time for command: 00:45:17 (2717.84768414497) Workload elapsed time (copy 0 workload 1) = 1375.815445 seconds Workload elapsed time (copy 0 workload 2) = 1341.875075 seconds Copy 0 of 603.bwaves_s (base refspeed) run 3 finished at 2021-07-09 05:27:56. Total elapsed time: 2717.69052 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'bwaves_1.out' with abstol=1e-16, reltol=0.015 comparing 'bwaves_2.out' with abstol=1e-16, reltol=0.015 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 05:27:56 (1625822876.58638) Stop command: 2021-07-09 05:27:56 (1625822876.90867) Elapsed time for command: 00:00:00 (0.322285890579224) Benchmark Times: Run Start: 2021-07-09 04:42:38 (1625820158) Run Stop: 2021-07-09 05:27:56 (1625822876) Run Elapsed: 00:45:18 (2718) Run Reported: 00:45:17 (2717 690580000 2717.69058) Success 603.bwaves_s base refspeed ratio=21.71, runtime=2717.690580, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 607.cactuBSSN_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 05:27:56] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -o spec_ref.out -e spec_ref.err ../run_base_refspeed_x86_64-m64.0000/cactuBSSN_s_base.x86_64-m64 spec_ref.par > spec_ref.out 2>> spec_ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 05:27:56 (1625822876.96087) Stop command: 2021-07-09 05:38:56 (1625823536.0104) Elapsed time for command: 00:10:59 (659.049530029297) Workload elapsed time (copy 0 workload 1) = 658.89228 seconds Copy 0 of 607.cactuBSSN_s (base refspeed) run 3 finished at 2021-07-09 05:38:55. Total elapsed time: 658.89228 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'gxx.xl' with abstol=5e-13, floatcompare=1 comparing 'gxy.xl' with abstol=5e-13, floatcompare=1 comparing 'spec_ref.out' with floatcompare=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 05:38:56 (1625823536.05817) Stop command: 2021-07-09 05:38:56 (1625823536.57115) Elapsed time for command: 00:00:00 (0.512976884841919) Benchmark Times: Run Start: 2021-07-09 05:27:56 (1625822876) Run Stop: 2021-07-09 05:38:56 (1625823536) Run Elapsed: 00:11:00 (660) Run Reported: 00:10:58 (658 892330000 658.89233) Success 607.cactuBSSN_s base refspeed ratio=25.30, runtime=658.892330, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 619.lbm_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 05:38:56] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -o lbm.out -e lbm.err ../run_base_refspeed_x86_64-m64.0000/lbm_s_base.x86_64-m64 2000 reference.dat 0 0 200_200_260_ldc.of > lbm.out 2>> lbm.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 05:38:56 (1625823536.64619) Stop command: 2021-07-09 06:11:54 (1625825514.38323) Elapsed time for command: 00:32:57 (1977.73704195023) Workload elapsed time (copy 0 workload 1) = 1977.578797 seconds Copy 0 of 619.lbm_s (base refspeed) run 3 finished at 2021-07-09 06:11:54. Total elapsed time: 1977.578797 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'lbm.out' with abstol=1e-07 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 06:11:54 (1625825514.45055) Stop command: 2021-07-09 06:11:54 (1625825514.69215) Elapsed time for command: 00:00:00 (0.241599082946777) Benchmark Times: Run Start: 2021-07-09 05:38:56 (1625823536) Run Stop: 2021-07-09 06:11:54 (1625825514) Run Elapsed: 00:32:58 (1978) Run Reported: 00:32:57 (1977 578853000 1977.578853) Success 619.lbm_s base refspeed ratio=2.65, runtime=1977.578853, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 621.wrf_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 06:11:54] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -o rsl.out.0000 -e wrf.err ../run_base_refspeed_x86_64-m64.0000/wrf_s_base.x86_64-m64 > rsl.out.0000 2>> wrf.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 06:11:54 (1625825514.9631) Stop command: 2021-07-09 06:27:31 (1625826451.71186) Elapsed time for command: 00:15:36 (936.748756170273) Workload elapsed time (copy 0 workload 1) = 936.591663 seconds Copy 0 of 621.wrf_s (base refspeed) run 3 finished at 2021-07-09 06:27:31. Total elapsed time: 936.591663 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'diffwrf_output_01.txt' with cw=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 06:27:31 (1625826451.79251) Stop command: 2021-07-09 06:27:32 (1625826452.18693) Elapsed time for command: 00:00:00 (0.394426107406616) Benchmark Times: Run Start: 2021-07-09 06:11:54 (1625825514) Run Stop: 2021-07-09 06:27:31 (1625826451) Run Elapsed: 00:15:37 (937) Run Reported: 00:15:36 (936 591711000 936.591711) Success 621.wrf_s base refspeed ratio=14.12, runtime=936.591711, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 627.cam4_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 06:27:32] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -o cam4_s_base.x86_64-m64.txt -e cam4_s_base.x86_64-m64.err ../run_base_refspeed_x86_64-m64.0000/cam4_s_base.x86_64-m64 > cam4_s_base.x86_64-m64.txt 2>> cam4_s_base.x86_64-m64.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 06:27:32 (1625826452.37042) Stop command: 2021-07-09 06:39:32 (1625827172.88735) Elapsed time for command: 00:12:00 (720.516936779022) Workload elapsed time (copy 0 workload 1) = 720.359843 seconds Copy 0 of 627.cam4_s (base refspeed) run 3 finished at 2021-07-09 06:39:32. Total elapsed time: 720.359843 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'cam4_validate.txt' with cw=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 06:39:32 (1625827172.91577) Stop command: 2021-07-09 06:39:33 (1625827173.16944) Elapsed time for command: 00:00:00 (0.253670930862427) Benchmark Times: Run Start: 2021-07-09 06:27:32 (1625826452) Run Stop: 2021-07-09 06:39:32 (1625827172) Run Elapsed: 00:12:00 (720) Run Reported: 00:12:00 (720 359893000 720.359893) Success 627.cam4_s base refspeed ratio=12.30, runtime=720.359893, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 628.pop2_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 06:39:34] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -o pop2_s.out -e pop2_s.err ../run_base_refspeed_x86_64-m64.0000/speed_pop2_base.x86_64-m64 > pop2_s.out 2>> pop2_s.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 06:39:34 (1625827174.1574) Stop command: 2021-07-09 06:52:29 (1625827949.30149) Elapsed time for command: 00:12:55 (775.144093990326) Workload elapsed time (copy 0 workload 1) = 774.986979 seconds Copy 0 of 628.pop2_s (base refspeed) run 3 finished at 2021-07-09 06:52:29. Total elapsed time: 774.986979 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ocn.log' with abstol=0.03, reltol=0.03 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 06:52:29 (1625827949.32793) Stop command: 2021-07-09 06:52:29 (1625827949.61179) Elapsed time for command: 00:00:00 (0.283857107162476) Benchmark Times: Run Start: 2021-07-09 06:39:34 (1625827174) Run Stop: 2021-07-09 06:52:29 (1625827949) Run Elapsed: 00:12:55 (775) Run Reported: 00:12:54 (774 987035000 774.987035) Success 628.pop2_s base refspeed ratio=15.32, runtime=774.987035, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 638.imagick_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 06:52:29] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -o refspeed_convert.out -e refspeed_convert.err ../run_base_refspeed_x86_64-m64.0000/imagick_s_base.x86_64-m64 -limit disk 0 refspeed_input.tga -resize 817% -rotate -2.76 -shave 540x375 -alpha remove -auto-level -contrast-stretch 1x1% -colorspace Lab -channel R -equalize +channel -colorspace sRGB -define histogram:unique-colors=false -adaptive-blur 0x5 -despeckle -auto-gamma -adaptive-sharpen 55 -enhance -brightness-contrast 10x10 -resize 30% refspeed_output.tga > refspeed_convert.out 2>> refspeed_convert.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 06:52:29 (1625827949.69255) Stop command: 2021-07-09 07:13:16 (1625829196.02586) Elapsed time for command: 00:20:46 (1246.33330917358) Workload elapsed time (copy 0 workload 1) = 1246.176147 seconds Copy 0 of 638.imagick_s (base refspeed) run 3 finished at 2021-07-09 07:13:15. Total elapsed time: 1246.176147 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'refspeed_validate.out' with reltol=0.01 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 07:13:16 (1625829196.09533) Stop command: 2021-07-09 07:13:16 (1625829196.67686) Elapsed time for command: 00:00:00 (0.581526041030884) Benchmark Times: Run Start: 2021-07-09 06:52:29 (1625827949) Run Stop: 2021-07-09 07:13:16 (1625829196) Run Elapsed: 00:20:47 (1247) Run Reported: 00:20:46 (1246 176193000 1246.176193) Success 638.imagick_s base refspeed ratio=11.58, runtime=1246.176193, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 644.nab_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 07:13:16] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -o 3j1n.out -e 3j1n.err ../run_base_refspeed_x86_64-m64.0000/nab_s_base.x86_64-m64 3j1n 20140317 220 > 3j1n.out 2>> 3j1n.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 07:13:16 (1625829196.7045) Stop command: 2021-07-09 07:24:18 (1625829858.31868) Elapsed time for command: 00:11:01 (661.614177942276) Workload elapsed time (copy 0 workload 1) = 661.457024 seconds Copy 0 of 644.nab_s (base refspeed) run 3 finished at 2021-07-09 07:24:18. Total elapsed time: 661.457024 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing '3j1n.out' with reltol=0.01, skipreltol=2 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 07:24:18 (1625829858.34512) Stop command: 2021-07-09 07:24:18 (1625829858.58423) Elapsed time for command: 00:00:00 (0.239113807678223) Benchmark Times: Run Start: 2021-07-09 07:13:16 (1625829196) Run Stop: 2021-07-09 07:24:18 (1625829858) Run Elapsed: 00:11:02 (662) Run Reported: 00:11:01 (661 457074000 661.457074) Success 644.nab_s base refspeed ratio=26.41, runtime=661.457074, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 649.fotonik3d_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 07:24:18] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -o fotonik3d_s.log -e fotonik3d_s.err ../run_base_refspeed_x86_64-m64.0000/fotonik3d_s_base.x86_64-m64 > fotonik3d_s.log 2>> fotonik3d_s.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 07:24:18 (1625829858.65379) Stop command: 2021-07-09 07:46:49 (1625831209.09748) Elapsed time for command: 00:22:30 (1350.4436841011) Workload elapsed time (copy 0 workload 1) = 1350.286246 seconds Copy 0 of 649.fotonik3d_s (base refspeed) run 3 finished at 2021-07-09 07:46:48. Total elapsed time: 1350.286246 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'pscyee.out' with abstol=1e-27, floatcompare=1, obiwan=1, reltol=1e-10 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 07:46:49 (1625831209.12348) Stop command: 2021-07-09 07:46:49 (1625831209.47302) Elapsed time for command: 00:00:00 (0.349542140960693) Benchmark Times: Run Start: 2021-07-09 07:24:18 (1625829858) Run Stop: 2021-07-09 07:46:49 (1625831209) Run Elapsed: 00:22:31 (1351) Run Reported: 00:22:30 (1350 286298000 1350.286298) Success 649.fotonik3d_s base refspeed ratio=6.75, runtime=1350.286298, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 654.roms_s refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 07:46:49] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') roms_s: Prerun decomposition for 4 threads: NtileI=4 NtileJ=4 Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -i ocean_benchmark3.in -o ocean_benchmark3.log -e ocean_benchmark3.err ../run_base_refspeed_x86_64-m64.0000/sroms_base.x86_64-m64 < ocean_benchmark3.in > ocean_benchmark3.log 2>> ocean_benchmark3.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 07:46:49 (1625831209.5453) Stop command: 2021-07-09 08:27:00 (1625833620.58652) Elapsed time for command: 00:40:11 (2411.04122900963) Workload elapsed time (copy 0 workload 1) = 2410.883995 seconds Copy 0 of 654.roms_s (base refspeed) run 3 finished at 2021-07-09 08:27:00. Total elapsed time: 2410.883995 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ocean_benchmark3.log' with abstol=1e-07, reltol=1e-07 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 08:27:00 (1625833620.65334) Stop command: 2021-07-09 08:27:00 (1625833620.89378) Elapsed time for command: 00:00:00 (0.240442037582397) Benchmark Times: Run Start: 2021-07-09 07:46:49 (1625831209) Run Stop: 2021-07-09 08:27:00 (1625833620) Run Elapsed: 00:40:11 (2411) Run Reported: 00:40:10 (2410 884049000 2410.884049) Success 654.roms_s base refspeed ratio=6.53, runtime=2410.884049, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 996.specrand_fs refspeed (ref) base x86_64-m64 threads:4 [2021-07-09 08:27:00] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -o rand.234923.out -e rand.234923.err ../run_base_refspeed_x86_64-m64.0000/specrand_fs_base.x86_64-m64 1255432124 234923 > rand.234923.out 2>> rand.234923.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 08:27:00 (1625833620.96585) Stop command: 2021-07-09 08:27:01 (1625833621.16405) Elapsed time for command: 00:00:00 (0.198199033737183) Workload elapsed time (copy 0 workload 1) = 0.040662 seconds Copy 0 of 996.specrand_fs (base refspeed) run 3 finished at 2021-07-09 08:27:01. Total elapsed time: 0.040662 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'rand.234923.out' with floatcompare=1, nansupport=0 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_base_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 08:27:01 (1625833621.22834) Stop command: 2021-07-09 08:27:01 (1625833621.93776) Elapsed time for command: 00:00:00 (0.709413051605225) Benchmark Times: Run Start: 2021-07-09 08:27:00 (1625833620) Run Stop: 2021-07-09 08:27:01 (1625833621) Run Elapsed: 00:00:01 (1) Run Reported: 00:00:00 (0 40701000 0.040701) Success 996.specrand_fs base refspeed ratio=245.69, runtime=0.040701, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 603.bwaves_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 08:27:01] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -i bwaves_1.in -o bwaves_1.out -e bwaves_1.err ../run_peak_refspeed_x86_64-m64.0000/speed_bwaves_peak.x86_64-m64 bwaves_1 < bwaves_1.in > bwaves_1.out 2>> bwaves_1.err (timed) -i bwaves_2.in -o bwaves_2.out -e bwaves_2.err ../run_peak_refspeed_x86_64-m64.0000/speed_bwaves_peak.x86_64-m64 bwaves_2 < bwaves_2.in > bwaves_2.out 2>> bwaves_2.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 08:27:01 (1625833621.96786) Stop command: 2021-07-09 08:55:52 (1625835352.87167) Elapsed time for command: 00:28:50 (1730.90380811691) Workload elapsed time (copy 0 workload 1) = 890.226946 seconds Workload elapsed time (copy 0 workload 2) = 840.519725 seconds Copy 0 of 603.bwaves_s (peak refspeed) run 1 finished at 2021-07-09 08:55:52. Total elapsed time: 1730.746671 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'bwaves_1.out' with abstol=1e-16, reltol=0.015 comparing 'bwaves_2.out' with abstol=1e-16, reltol=0.015 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 08:55:52 (1625835352.89869) Stop command: 2021-07-09 08:55:53 (1625835353.22087) Elapsed time for command: 00:00:00 (0.322175025939941) Benchmark Times: Run Start: 2021-07-09 08:27:01 (1625833621) Run Stop: 2021-07-09 08:55:52 (1625835352) Run Elapsed: 00:28:51 (1731) Run Reported: 00:28:50 (1730 746730000 1730.74673) Success 603.bwaves_s peak refspeed ratio=34.09, runtime=1730.746730, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 607.cactuBSSN_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 08:55:53] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -o spec_ref.out -e spec_ref.err ../run_peak_refspeed_x86_64-m64.0000/cactuBSSN_s_peak.x86_64-m64 spec_ref.par > spec_ref.out 2>> spec_ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 08:55:53 (1625835353.31249) Stop command: 2021-07-09 09:06:05 (1625835965.90456) Elapsed time for command: 00:10:12 (612.592067956924) Workload elapsed time (copy 0 workload 1) = 612.434915 seconds Copy 0 of 607.cactuBSSN_s (peak refspeed) run 1 finished at 2021-07-09 09:06:05. Total elapsed time: 612.434915 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'gxx.xl' with abstol=5e-13, floatcompare=1 comparing 'gxy.xl' with abstol=5e-13, floatcompare=1 comparing 'spec_ref.out' with floatcompare=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 09:06:05 (1625835965.9328) Stop command: 2021-07-09 09:06:06 (1625835966.44898) Elapsed time for command: 00:00:00 (0.516175031661987) Benchmark Times: Run Start: 2021-07-09 08:55:53 (1625835353) Run Stop: 2021-07-09 09:06:05 (1625835965) Run Elapsed: 00:10:12 (612) Run Reported: 00:10:12 (612 434962000 612.434962) Success 607.cactuBSSN_s peak refspeed ratio=27.22, runtime=612.434962, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 619.lbm_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 09:06:06] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -o lbm.out -e lbm.err ../run_peak_refspeed_x86_64-m64.0000/lbm_s_peak.x86_64-m64 2000 reference.dat 0 0 200_200_260_ldc.of > lbm.out 2>> lbm.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 09:06:06 (1625835966.47617) Stop command: 2021-07-09 09:39:02 (1625837942.8218) Elapsed time for command: 00:32:56 (1976.34562897682) Workload elapsed time (copy 0 workload 1) = 1976.188399 seconds Copy 0 of 619.lbm_s (peak refspeed) run 1 finished at 2021-07-09 09:39:02. Total elapsed time: 1976.188399 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'lbm.out' with abstol=1e-07 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 09:39:02 (1625837942.88591) Stop command: 2021-07-09 09:39:03 (1625837943.13311) Elapsed time for command: 00:00:00 (0.247198104858398) Benchmark Times: Run Start: 2021-07-09 09:06:06 (1625835966) Run Stop: 2021-07-09 09:39:02 (1625837942) Run Elapsed: 00:32:56 (1976) Run Reported: 00:32:56 (1976 188448000 1976.188448) Success 619.lbm_s peak refspeed ratio=2.65, runtime=1976.188448, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 621.wrf_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 09:39:03] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -o rsl.out.0000 -e wrf.err ../run_peak_refspeed_x86_64-m64.0000/wrf_s_peak.x86_64-m64 > rsl.out.0000 2>> wrf.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 09:39:03 (1625837943.2838) Stop command: 2021-07-09 09:49:50 (1625838590.07993) Elapsed time for command: 00:10:46 (646.796128988266) Workload elapsed time (copy 0 workload 1) = 646.639731 seconds Copy 0 of 621.wrf_s (peak refspeed) run 1 finished at 2021-07-09 09:49:49. Total elapsed time: 646.639731 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'diffwrf_output_01.txt' with cw=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 09:49:50 (1625838590.16764) Stop command: 2021-07-09 09:49:50 (1625838590.53178) Elapsed time for command: 00:00:00 (0.364140033721924) Benchmark Times: Run Start: 2021-07-09 09:39:03 (1625837943) Run Stop: 2021-07-09 09:49:50 (1625838590) Run Elapsed: 00:10:47 (647) Run Reported: 00:10:46 (646 639781000 646.639781) Success 621.wrf_s peak refspeed ratio=20.45, runtime=646.639781, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 627.cam4_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 09:49:50] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -o cam4_s_peak.x86_64-m64.txt -e cam4_s_peak.x86_64-m64.err ../run_peak_refspeed_x86_64-m64.0000/cam4_s_peak.x86_64-m64 > cam4_s_peak.x86_64-m64.txt 2>> cam4_s_peak.x86_64-m64.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 09:49:50 (1625838590.59564) Stop command: 2021-07-09 10:00:52 (1625839252.26637) Elapsed time for command: 00:11:01 (661.670730113983) Workload elapsed time (copy 0 workload 1) = 661.513592 seconds Copy 0 of 627.cam4_s (peak refspeed) run 1 finished at 2021-07-09 10:00:52. Total elapsed time: 661.513592 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'cam4_validate.txt' with cw=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 10:00:52 (1625839252.3335) Stop command: 2021-07-09 10:00:52 (1625839252.5914) Elapsed time for command: 00:00:00 (0.25789999961853) Error with '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout'; no non-empty output files exist Command returned exit code 1 *** Miscompare of cam4_validate.txt; for details see /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000/cam4_validate.txt.mis 0001: PASS: 4 points. Failure at Step: 2 40 65 25 ^ 'cam4_validate.txt' long Benchmark Times: Run Start: 2021-07-09 09:49:50 (1625838590) Run Stop: 2021-07-09 10:00:52 (1625839252) Run Elapsed: 00:11:02 (662) Run Reported: 00:11:01 (661 513644000 661.513644) Error 627.cam4_s peak refspeed ratio=13.40, runtime=661.513644, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00%, errorcode=VE ----------------------------------- Running (#1) 628.pop2_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 10:00:53] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -o pop2_s.out -e pop2_s.err ../run_peak_refspeed_x86_64-m64.0000/speed_pop2_peak.x86_64-m64 > pop2_s.out 2>> pop2_s.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 10:00:53 (1625839253.41673) Stop command: 2021-07-09 10:12:23 (1625839943.50366) Elapsed time for command: 00:11:30 (690.086936950684) Workload elapsed time (copy 0 workload 1) = 689.929808 seconds Copy 0 of 628.pop2_s (peak refspeed) run 1 finished at 2021-07-09 10:12:23. Total elapsed time: 689.929808 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ocn.log' with abstol=0.03, reltol=0.03 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 10:12:23 (1625839943.57119) Stop command: 2021-07-09 10:12:23 (1625839943.85523) Elapsed time for command: 00:00:00 (0.284040927886963) Benchmark Times: Run Start: 2021-07-09 10:00:53 (1625839253) Run Stop: 2021-07-09 10:12:23 (1625839943) Run Elapsed: 00:11:30 (690) Run Reported: 00:11:29 (689 929859000 689.929859) Success 628.pop2_s peak refspeed ratio=17.21, runtime=689.929859, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 638.imagick_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 10:12:23] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -o refspeed_convert.out -e refspeed_convert.err ../run_peak_refspeed_x86_64-m64.0000/imagick_s_peak.x86_64-m64 -limit disk 0 refspeed_input.tga -resize 817% -rotate -2.76 -shave 540x375 -alpha remove -auto-level -contrast-stretch 1x1% -colorspace Lab -channel R -equalize +channel -colorspace sRGB -define histogram:unique-colors=false -adaptive-blur 0x5 -despeckle -auto-gamma -adaptive-sharpen 55 -enhance -brightness-contrast 10x10 -resize 30% refspeed_output.tga > refspeed_convert.out 2>> refspeed_convert.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 10:12:23 (1625839943.89268) Stop command: 2021-07-09 10:26:29 (1625840789.79529) Elapsed time for command: 00:14:05 (845.902612924576) Workload elapsed time (copy 0 workload 1) = 845.745459 seconds Copy 0 of 638.imagick_s (peak refspeed) run 1 finished at 2021-07-09 10:26:29. Total elapsed time: 845.745459 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'refspeed_validate.out' with reltol=0.01 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 10:26:29 (1625840789.86258) Stop command: 2021-07-09 10:26:30 (1625840790.38434) Elapsed time for command: 00:00:00 (0.521758079528809) Benchmark Times: Run Start: 2021-07-09 10:12:23 (1625839943) Run Stop: 2021-07-09 10:26:29 (1625840789) Run Elapsed: 00:14:06 (846) Run Reported: 00:14:05 (845 745503000 845.745503) Success 638.imagick_s peak refspeed ratio=17.06, runtime=845.745503, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 644.nab_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 10:26:30] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -o 3j1n.out -e 3j1n.err ../run_peak_refspeed_x86_64-m64.0000/nab_s_peak.x86_64-m64 3j1n 20140317 220 > 3j1n.out 2>> 3j1n.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 10:26:30 (1625840790.45911) Stop command: 2021-07-09 10:35:40 (1625841340.33836) Elapsed time for command: 00:09:09 (549.879253864288) Workload elapsed time (copy 0 workload 1) = 549.722019 seconds Copy 0 of 644.nab_s (peak refspeed) run 1 finished at 2021-07-09 10:35:40. Total elapsed time: 549.722019 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing '3j1n.out' with reltol=0.01, skipreltol=2 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 10:35:40 (1625841340.4117) Stop command: 2021-07-09 10:35:40 (1625841340.65735) Elapsed time for command: 00:00:00 (0.245655059814453) Benchmark Times: Run Start: 2021-07-09 10:26:30 (1625840790) Run Stop: 2021-07-09 10:35:40 (1625841340) Run Elapsed: 00:09:10 (550) Run Reported: 00:09:09 (549 722072000 549.722072) Success 644.nab_s peak refspeed ratio=31.78, runtime=549.722072, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 649.fotonik3d_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 10:35:40] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -o fotonik3d_s.log -e fotonik3d_s.err ../run_peak_refspeed_x86_64-m64.0000/fotonik3d_s_peak.x86_64-m64 > fotonik3d_s.log 2>> fotonik3d_s.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 10:35:40 (1625841340.69071) Stop command: 2021-07-09 10:58:04 (1625842684.43227) Elapsed time for command: 00:22:23 (1343.7415599823) Workload elapsed time (copy 0 workload 1) = 1343.583467 seconds Copy 0 of 649.fotonik3d_s (peak refspeed) run 1 finished at 2021-07-09 10:58:04. Total elapsed time: 1343.583467 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'pscyee.out' with abstol=1e-27, floatcompare=1, obiwan=1, reltol=1e-10 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 10:58:04 (1625842684.45854) Stop command: 2021-07-09 10:58:04 (1625842684.92676) Elapsed time for command: 00:00:00 (0.468225002288818) Benchmark Times: Run Start: 2021-07-09 10:35:40 (1625841340) Run Stop: 2021-07-09 10:58:04 (1625842684) Run Elapsed: 00:22:24 (1344) Run Reported: 00:22:23 (1343 583610000 1343.58361) Success 649.fotonik3d_s peak refspeed ratio=6.78, runtime=1343.583610, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 654.roms_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 10:58:04] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') roms_s: Prerun decomposition for 4 threads: NtileI=4 NtileJ=4 Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -i ocean_benchmark3.in -o ocean_benchmark3.log -e ocean_benchmark3.err ../run_peak_refspeed_x86_64-m64.0000/sroms_peak.x86_64-m64 < ocean_benchmark3.in > ocean_benchmark3.log 2>> ocean_benchmark3.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 10:58:04 (1625842684.96174) Stop command: 2021-07-09 11:36:19 (1625844979.26306) Elapsed time for command: 00:38:14 (2294.30132007599) Workload elapsed time (copy 0 workload 1) = 2294.14323 seconds Copy 0 of 654.roms_s (peak refspeed) run 1 finished at 2021-07-09 11:36:19. Total elapsed time: 2294.14323 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ocean_benchmark3.log' with abstol=1e-07, reltol=1e-07 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 11:36:19 (1625844979.28945) Stop command: 2021-07-09 11:36:19 (1625844979.53236) Elapsed time for command: 00:00:00 (0.2429039478302) Benchmark Times: Run Start: 2021-07-09 10:58:04 (1625842684) Run Stop: 2021-07-09 11:36:19 (1625844979) Run Elapsed: 00:38:15 (2295) Run Reported: 00:38:14 (2294 143465000 2294.143465) Success 654.roms_s peak refspeed ratio=6.86, runtime=2294.143465, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#1) 996.specrand_fs refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 11:36:19] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -o rand.234923.out -e rand.234923.err ../run_peak_refspeed_x86_64-m64.0000/specrand_fs_peak.x86_64-m64 1255432124 234923 > rand.234923.out 2>> rand.234923.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 11:36:19 (1625844979.57837) Stop command: 2021-07-09 11:36:19 (1625844979.77228) Elapsed time for command: 00:00:00 (0.193912982940674) Workload elapsed time (copy 0 workload 1) = 0.037188 seconds Copy 0 of 996.specrand_fs (peak refspeed) run 1 finished at 2021-07-09 11:36:19. Total elapsed time: 0.037188 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'rand.234923.out' with floatcompare=1, nansupport=0 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 11:36:19 (1625844979.83692) Stop command: 2021-07-09 11:36:20 (1625844980.54638) Elapsed time for command: 00:00:00 (0.70946216583252) Benchmark Times: Run Start: 2021-07-09 11:36:19 (1625844979) Run Stop: 2021-07-09 11:36:19 (1625844979) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 37220000 0.03722) Success 996.specrand_fs peak refspeed ratio=268.67, runtime=0.037220, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 603.bwaves_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 11:36:20] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -i bwaves_1.in -o bwaves_1.out -e bwaves_1.err ../run_peak_refspeed_x86_64-m64.0000/speed_bwaves_peak.x86_64-m64 bwaves_1 < bwaves_1.in > bwaves_1.out 2>> bwaves_1.err (timed) -i bwaves_2.in -o bwaves_2.out -e bwaves_2.err ../run_peak_refspeed_x86_64-m64.0000/speed_bwaves_peak.x86_64-m64 bwaves_2 < bwaves_2.in > bwaves_2.out 2>> bwaves_2.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 11:36:20 (1625844980.57535) Stop command: 2021-07-09 12:05:13 (1625846713.89826) Elapsed time for command: 00:28:53 (1733.32291412354) Workload elapsed time (copy 0 workload 1) = 891.110345 seconds Workload elapsed time (copy 0 workload 2) = 842.055252 seconds Copy 0 of 603.bwaves_s (peak refspeed) run 2 finished at 2021-07-09 12:05:13. Total elapsed time: 1733.165597 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'bwaves_1.out' with abstol=1e-16, reltol=0.015 comparing 'bwaves_2.out' with abstol=1e-16, reltol=0.015 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 12:05:13 (1625846713.96619) Stop command: 2021-07-09 12:05:14 (1625846714.29216) Elapsed time for command: 00:00:00 (0.325963020324707) Benchmark Times: Run Start: 2021-07-09 11:36:20 (1625844980) Run Stop: 2021-07-09 12:05:13 (1625846713) Run Elapsed: 00:28:53 (1733) Run Reported: 00:28:53 (1733 165709000 1733.165709) Success 603.bwaves_s peak refspeed ratio=34.04, runtime=1733.165709, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 607.cactuBSSN_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 12:05:14] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -o spec_ref.out -e spec_ref.err ../run_peak_refspeed_x86_64-m64.0000/cactuBSSN_s_peak.x86_64-m64 spec_ref.par > spec_ref.out 2>> spec_ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 12:05:14 (1625846714.35991) Stop command: 2021-07-09 12:15:29 (1625847329.50134) Elapsed time for command: 00:10:15 (615.141433000565) Workload elapsed time (copy 0 workload 1) = 614.984233 seconds Copy 0 of 607.cactuBSSN_s (peak refspeed) run 2 finished at 2021-07-09 12:15:29. Total elapsed time: 614.984233 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'gxx.xl' with abstol=5e-13, floatcompare=1 comparing 'gxy.xl' with abstol=5e-13, floatcompare=1 comparing 'spec_ref.out' with floatcompare=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 12:15:29 (1625847329.52939) Stop command: 2021-07-09 12:15:30 (1625847330.04853) Elapsed time for command: 00:00:00 (0.519141912460327) Benchmark Times: Run Start: 2021-07-09 12:05:14 (1625846714) Run Stop: 2021-07-09 12:15:29 (1625847329) Run Elapsed: 00:10:15 (615) Run Reported: 00:10:14 (614 984282000 614.984282) Success 607.cactuBSSN_s peak refspeed ratio=27.11, runtime=614.984282, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 619.lbm_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 12:15:30] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -o lbm.out -e lbm.err ../run_peak_refspeed_x86_64-m64.0000/lbm_s_peak.x86_64-m64 2000 reference.dat 0 0 200_200_260_ldc.of > lbm.out 2>> lbm.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 12:15:30 (1625847330.07628) Stop command: 2021-07-09 12:48:27 (1625849307.11669) Elapsed time for command: 00:32:57 (1977.04040813446) Workload elapsed time (copy 0 workload 1) = 1976.883101 seconds Copy 0 of 619.lbm_s (peak refspeed) run 2 finished at 2021-07-09 12:48:26. Total elapsed time: 1976.883101 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'lbm.out' with abstol=1e-07 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 12:48:27 (1625849307.1824) Stop command: 2021-07-09 12:48:27 (1625849307.44053) Elapsed time for command: 00:00:00 (0.25813102722168) Benchmark Times: Run Start: 2021-07-09 12:15:30 (1625847330) Run Stop: 2021-07-09 12:48:27 (1625849307) Run Elapsed: 00:32:57 (1977) Run Reported: 00:32:56 (1976 883201000 1976.883201) Success 619.lbm_s peak refspeed ratio=2.65, runtime=1976.883201, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 621.wrf_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 12:48:27] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -o rsl.out.0000 -e wrf.err ../run_peak_refspeed_x86_64-m64.0000/wrf_s_peak.x86_64-m64 > rsl.out.0000 2>> wrf.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 12:48:27 (1625849307.65169) Stop command: 2021-07-09 12:59:17 (1625849957.48927) Elapsed time for command: 00:10:49 (649.83757686615) Workload elapsed time (copy 0 workload 1) = 649.680731 seconds Copy 0 of 621.wrf_s (peak refspeed) run 2 finished at 2021-07-09 12:59:17. Total elapsed time: 649.680731 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'diffwrf_output_01.txt' with cw=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 12:59:17 (1625849957.57159) Stop command: 2021-07-09 12:59:18 (1625849958.02292) Elapsed time for command: 00:00:00 (0.451326847076416) Benchmark Times: Run Start: 2021-07-09 12:48:27 (1625849307) Run Stop: 2021-07-09 12:59:17 (1625849957) Run Elapsed: 00:10:50 (650) Run Reported: 00:10:49 (649 680891000 649.680891) Success 621.wrf_s peak refspeed ratio=20.36, runtime=649.680891, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 627.cam4_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 12:59:18] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -o cam4_s_peak.x86_64-m64.txt -e cam4_s_peak.x86_64-m64.err ../run_peak_refspeed_x86_64-m64.0000/cam4_s_peak.x86_64-m64 > cam4_s_peak.x86_64-m64.txt 2>> cam4_s_peak.x86_64-m64.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 12:59:18 (1625849958.13386) Stop command: 2021-07-09 13:10:19 (1625850619.47599) Elapsed time for command: 00:11:01 (661.342123031616) Workload elapsed time (copy 0 workload 1) = 661.185137 seconds Copy 0 of 627.cam4_s (peak refspeed) run 2 finished at 2021-07-09 13:10:19. Total elapsed time: 661.185137 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'cam4_validate.txt' with cw=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 13:10:19 (1625850619.50327) Stop command: 2021-07-09 13:10:19 (1625850619.77693) Elapsed time for command: 00:00:00 (0.273665904998779) Error with '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout'; no non-empty output files exist Command returned exit code 1 *** Miscompare of cam4_validate.txt; for details see /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000/cam4_validate.txt.mis 0001: PASS: 4 points. Failure at Step: 2 40 65 25 ^ 'cam4_validate.txt' long Benchmark Times: Run Start: 2021-07-09 12:59:18 (1625849958) Run Stop: 2021-07-09 13:10:19 (1625850619) Run Elapsed: 00:11:01 (661) Run Reported: 00:11:01 (661 185187000 661.185187) Error 627.cam4_s peak refspeed ratio=13.40, runtime=661.185187, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00%, errorcode=VE ----------------------------------- Running (#2) 628.pop2_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 13:10:20] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -o pop2_s.out -e pop2_s.err ../run_peak_refspeed_x86_64-m64.0000/speed_pop2_peak.x86_64-m64 > pop2_s.out 2>> pop2_s.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 13:10:20 (1625850620.91978) Stop command: 2021-07-09 13:21:50 (1625851310.38408) Elapsed time for command: 00:11:29 (689.46430683136) Workload elapsed time (copy 0 workload 1) = 689.307247 seconds Copy 0 of 628.pop2_s (peak refspeed) run 2 finished at 2021-07-09 13:21:50. Total elapsed time: 689.307247 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ocn.log' with abstol=0.03, reltol=0.03 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 13:21:50 (1625851310.44995) Stop command: 2021-07-09 13:21:50 (1625851310.73261) Elapsed time for command: 00:00:00 (0.282657146453857) Benchmark Times: Run Start: 2021-07-09 13:10:20 (1625850620) Run Stop: 2021-07-09 13:21:50 (1625851310) Run Elapsed: 00:11:30 (690) Run Reported: 00:11:29 (689 307297000 689.307297) Success 628.pop2_s peak refspeed ratio=17.22, runtime=689.307297, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 638.imagick_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 13:21:50] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -o refspeed_convert.out -e refspeed_convert.err ../run_peak_refspeed_x86_64-m64.0000/imagick_s_peak.x86_64-m64 -limit disk 0 refspeed_input.tga -resize 817% -rotate -2.76 -shave 540x375 -alpha remove -auto-level -contrast-stretch 1x1% -colorspace Lab -channel R -equalize +channel -colorspace sRGB -define histogram:unique-colors=false -adaptive-blur 0x5 -despeckle -auto-gamma -adaptive-sharpen 55 -enhance -brightness-contrast 10x10 -resize 30% refspeed_output.tga > refspeed_convert.out 2>> refspeed_convert.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 13:21:50 (1625851310.7857) Stop command: 2021-07-09 13:35:56 (1625852156.24771) Elapsed time for command: 00:14:05 (845.462008953094) Workload elapsed time (copy 0 workload 1) = 845.304643 seconds Copy 0 of 638.imagick_s (peak refspeed) run 2 finished at 2021-07-09 13:35:56. Total elapsed time: 845.304643 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'refspeed_validate.out' with reltol=0.01 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 13:35:56 (1625852156.31377) Stop command: 2021-07-09 13:35:56 (1625852156.84586) Elapsed time for command: 00:00:00 (0.532090902328491) Benchmark Times: Run Start: 2021-07-09 13:21:50 (1625851310) Run Stop: 2021-07-09 13:35:56 (1625852156) Run Elapsed: 00:14:06 (846) Run Reported: 00:14:05 (845 304779000 845.304779) Success 638.imagick_s peak refspeed ratio=17.07, runtime=845.304779, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 644.nab_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 13:35:56] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -o 3j1n.out -e 3j1n.err ../run_peak_refspeed_x86_64-m64.0000/nab_s_peak.x86_64-m64 3j1n 20140317 220 > 3j1n.out 2>> 3j1n.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 13:35:56 (1625852156.87473) Stop command: 2021-07-09 13:45:09 (1625852709.41414) Elapsed time for command: 00:09:12 (552.539412021637) Workload elapsed time (copy 0 workload 1) = 552.382284 seconds Copy 0 of 644.nab_s (peak refspeed) run 2 finished at 2021-07-09 13:45:09. Total elapsed time: 552.382284 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing '3j1n.out' with reltol=0.01, skipreltol=2 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 13:45:09 (1625852709.48788) Stop command: 2021-07-09 13:45:09 (1625852709.73674) Elapsed time for command: 00:00:00 (0.248856067657471) Benchmark Times: Run Start: 2021-07-09 13:35:56 (1625852156) Run Stop: 2021-07-09 13:45:09 (1625852709) Run Elapsed: 00:09:13 (553) Run Reported: 00:09:12 (552 382331000 552.382331) Success 644.nab_s peak refspeed ratio=31.63, runtime=552.382331, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 649.fotonik3d_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 13:45:09] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -o fotonik3d_s.log -e fotonik3d_s.err ../run_peak_refspeed_x86_64-m64.0000/fotonik3d_s_peak.x86_64-m64 > fotonik3d_s.log 2>> fotonik3d_s.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 13:45:09 (1625852709.81006) Stop command: 2021-07-09 14:07:32 (1625854052.84936) Elapsed time for command: 00:22:23 (1343.03930401802) Workload elapsed time (copy 0 workload 1) = 1342.881929 seconds Copy 0 of 649.fotonik3d_s (peak refspeed) run 2 finished at 2021-07-09 14:07:32. Total elapsed time: 1342.881929 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'pscyee.out' with abstol=1e-27, floatcompare=1, obiwan=1, reltol=1e-10 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 14:07:32 (1625854052.87565) Stop command: 2021-07-09 14:07:33 (1625854053.36726) Elapsed time for command: 00:00:00 (0.4916090965271) Benchmark Times: Run Start: 2021-07-09 13:45:09 (1625852709) Run Stop: 2021-07-09 14:07:32 (1625854052) Run Elapsed: 00:22:23 (1343) Run Reported: 00:22:22 (1342 881984000 1342.881984) Success 649.fotonik3d_s peak refspeed ratio=6.79, runtime=1342.881984, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 654.roms_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 14:07:33] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') roms_s: Prerun decomposition for 4 threads: NtileI=4 NtileJ=4 Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -i ocean_benchmark3.in -o ocean_benchmark3.log -e ocean_benchmark3.err ../run_peak_refspeed_x86_64-m64.0000/sroms_peak.x86_64-m64 < ocean_benchmark3.in > ocean_benchmark3.log 2>> ocean_benchmark3.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 14:07:33 (1625854053.40778) Stop command: 2021-07-09 14:45:12 (1625856312.59561) Elapsed time for command: 00:37:39 (2259.18783402443) Workload elapsed time (copy 0 workload 1) = 2259.029594 seconds Copy 0 of 654.roms_s (peak refspeed) run 2 finished at 2021-07-09 14:45:12. Total elapsed time: 2259.029594 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ocean_benchmark3.log' with abstol=1e-07, reltol=1e-07 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 14:45:12 (1625856312.6221) Stop command: 2021-07-09 14:45:12 (1625856312.86533) Elapsed time for command: 00:00:00 (0.243230104446411) Benchmark Times: Run Start: 2021-07-09 14:07:33 (1625854053) Run Stop: 2021-07-09 14:45:12 (1625856312) Run Elapsed: 00:37:39 (2259) Run Reported: 00:37:39 (2259 29858000 2259.029858) Success 654.roms_s peak refspeed ratio=6.97, runtime=2259.029858, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#2) 996.specrand_fs refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 14:45:12] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -o rand.234923.out -e rand.234923.err ../run_peak_refspeed_x86_64-m64.0000/specrand_fs_peak.x86_64-m64 1255432124 234923 > rand.234923.out 2>> rand.234923.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 14:45:12 (1625856312.915) Stop command: 2021-07-09 14:45:13 (1625856313.10915) Elapsed time for command: 00:00:00 (0.194145917892456) Workload elapsed time (copy 0 workload 1) = 0.037187 seconds Copy 0 of 996.specrand_fs (peak refspeed) run 2 finished at 2021-07-09 14:45:12. Total elapsed time: 0.037187 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'rand.234923.out' with floatcompare=1, nansupport=0 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 14:45:13 (1625856313.17586) Stop command: 2021-07-09 14:45:13 (1625856313.86881) Elapsed time for command: 00:00:00 (0.692947864532471) Benchmark Times: Run Start: 2021-07-09 14:45:12 (1625856312) Run Stop: 2021-07-09 14:45:13 (1625856313) Run Elapsed: 00:00:01 (1) Run Reported: 00:00:00 (0 37218000 0.037218) Success 996.specrand_fs peak refspeed ratio=268.69, runtime=0.037218, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 603.bwaves_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 14:45:13] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -i bwaves_1.in -o bwaves_1.out -e bwaves_1.err ../run_peak_refspeed_x86_64-m64.0000/speed_bwaves_peak.x86_64-m64 bwaves_1 < bwaves_1.in > bwaves_1.out 2>> bwaves_1.err (timed) -i bwaves_2.in -o bwaves_2.out -e bwaves_2.err ../run_peak_refspeed_x86_64-m64.0000/speed_bwaves_peak.x86_64-m64 bwaves_2 < bwaves_2.in > bwaves_2.out 2>> bwaves_2.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 14:45:13 (1625856313.90104) Stop command: 2021-07-09 15:14:04 (1625858044.3712) Elapsed time for command: 00:28:50 (1730.47015881538) Workload elapsed time (copy 0 workload 1) = 889.682054 seconds Workload elapsed time (copy 0 workload 2) = 840.630622 seconds Copy 0 of 603.bwaves_s (peak refspeed) run 3 finished at 2021-07-09 15:14:04. Total elapsed time: 1730.312676 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'bwaves_1.out' with abstol=1e-16, reltol=0.015 comparing 'bwaves_2.out' with abstol=1e-16, reltol=0.015 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/603.bwaves_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 15:14:04 (1625858044.4358) Stop command: 2021-07-09 15:14:04 (1625858044.76044) Elapsed time for command: 00:00:00 (0.324644088745117) Benchmark Times: Run Start: 2021-07-09 14:45:13 (1625856313) Run Stop: 2021-07-09 15:14:04 (1625858044) Run Elapsed: 00:28:51 (1731) Run Reported: 00:28:50 (1730 312855000 1730.312855) Success 603.bwaves_s peak refspeed ratio=34.10, runtime=1730.312855, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 607.cactuBSSN_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 15:14:04] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -o spec_ref.out -e spec_ref.err ../run_peak_refspeed_x86_64-m64.0000/cactuBSSN_s_peak.x86_64-m64 spec_ref.par > spec_ref.out 2>> spec_ref.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 15:14:04 (1625858044.81361) Stop command: 2021-07-09 15:24:17 (1625858657.46953) Elapsed time for command: 00:10:12 (612.655917882919) Workload elapsed time (copy 0 workload 1) = 612.498746 seconds Copy 0 of 607.cactuBSSN_s (peak refspeed) run 3 finished at 2021-07-09 15:24:17. Total elapsed time: 612.498746 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'gxx.xl' with abstol=5e-13, floatcompare=1 comparing 'gxy.xl' with abstol=5e-13, floatcompare=1 comparing 'spec_ref.out' with floatcompare=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/607.cactuBSSN_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 15:24:17 (1625858657.49812) Stop command: 2021-07-09 15:24:18 (1625858658.01408) Elapsed time for command: 00:00:00 (0.515961885452271) Benchmark Times: Run Start: 2021-07-09 15:14:04 (1625858044) Run Stop: 2021-07-09 15:24:17 (1625858657) Run Elapsed: 00:10:13 (613) Run Reported: 00:10:12 (612 498792000 612.498792) Success 607.cactuBSSN_s peak refspeed ratio=27.22, runtime=612.498792, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 619.lbm_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 15:24:18] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -o lbm.out -e lbm.err ../run_peak_refspeed_x86_64-m64.0000/lbm_s_peak.x86_64-m64 2000 reference.dat 0 0 200_200_260_ldc.of > lbm.out 2>> lbm.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 15:24:18 (1625858658.04152) Stop command: 2021-07-09 15:57:14 (1625860634.26943) Elapsed time for command: 00:32:56 (1976.22791385651) Workload elapsed time (copy 0 workload 1) = 1976.070507 seconds Copy 0 of 619.lbm_s (peak refspeed) run 3 finished at 2021-07-09 15:57:14. Total elapsed time: 1976.070507 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'lbm.out' with abstol=1e-07 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/619.lbm_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 15:57:14 (1625860634.33504) Stop command: 2021-07-09 15:57:14 (1625860634.58238) Elapsed time for command: 00:00:00 (0.247335910797119) Benchmark Times: Run Start: 2021-07-09 15:24:18 (1625858658) Run Stop: 2021-07-09 15:57:14 (1625860634) Run Elapsed: 00:32:56 (1976) Run Reported: 00:32:56 (1976 70668000 1976.070668) Success 619.lbm_s peak refspeed ratio=2.65, runtime=1976.070668, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 621.wrf_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 15:57:14] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -o rsl.out.0000 -e wrf.err ../run_peak_refspeed_x86_64-m64.0000/wrf_s_peak.x86_64-m64 > rsl.out.0000 2>> wrf.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 15:57:14 (1625860634.74068) Stop command: 2021-07-09 16:08:03 (1625861283.0386) Elapsed time for command: 00:10:48 (648.297918081284) Workload elapsed time (copy 0 workload 1) = 648.140883 seconds Copy 0 of 621.wrf_s (peak refspeed) run 3 finished at 2021-07-09 16:08:02. Total elapsed time: 648.140883 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'diffwrf_output_01.txt' with cw=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/621.wrf_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 16:08:03 (1625861283.1243) Stop command: 2021-07-09 16:08:03 (1625861283.49447) Elapsed time for command: 00:00:00 (0.370172023773193) Benchmark Times: Run Start: 2021-07-09 15:57:14 (1625860634) Run Stop: 2021-07-09 16:08:03 (1625861283) Run Elapsed: 00:10:49 (649) Run Reported: 00:10:48 (648 140932000 648.140932) Success 621.wrf_s peak refspeed ratio=20.41, runtime=648.140932, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 627.cam4_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 16:08:03] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -o cam4_s_peak.x86_64-m64.txt -e cam4_s_peak.x86_64-m64.err ../run_peak_refspeed_x86_64-m64.0000/cam4_s_peak.x86_64-m64 > cam4_s_peak.x86_64-m64.txt 2>> cam4_s_peak.x86_64-m64.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 16:08:03 (1625861283.5973) Stop command: 2021-07-09 16:19:04 (1625861944.32745) Elapsed time for command: 00:11:00 (660.730153799057) Workload elapsed time (copy 0 workload 1) = 660.573139 seconds Copy 0 of 627.cam4_s (peak refspeed) run 3 finished at 2021-07-09 16:19:04. Total elapsed time: 660.573139 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'cam4_validate.txt' with cw=1 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 16:19:04 (1625861944.3547) Stop command: 2021-07-09 16:19:04 (1625861944.60594) Elapsed time for command: 00:00:00 (0.251239061355591) Error with '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout'; no non-empty output files exist Command returned exit code 1 *** Miscompare of cam4_validate.txt; for details see /notnfs/vmakarov/spec2017/benchspec/CPU/627.cam4_s/run/run_peak_refspeed_x86_64-m64.0000/cam4_validate.txt.mis 0001: PASS: 4 points. Failure at Step: 2 40 65 25 ^ 'cam4_validate.txt' long Benchmark Times: Run Start: 2021-07-09 16:08:03 (1625861283) Run Stop: 2021-07-09 16:19:04 (1625861944) Run Elapsed: 00:11:01 (661) Run Reported: 00:11:00 (660 573191000 660.573191) Error 627.cam4_s peak refspeed ratio=13.42, runtime=660.573191, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00%, errorcode=VE ----------------------------------- Running (#3) 628.pop2_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 16:19:05] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -o pop2_s.out -e pop2_s.err ../run_peak_refspeed_x86_64-m64.0000/speed_pop2_peak.x86_64-m64 > pop2_s.out 2>> pop2_s.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 16:19:05 (1625861945.481) Stop command: 2021-07-09 16:30:36 (1625862636.01821) Elapsed time for command: 00:11:30 (690.537211894989) Workload elapsed time (copy 0 workload 1) = 690.379951 seconds Copy 0 of 628.pop2_s (peak refspeed) run 3 finished at 2021-07-09 16:30:35. Total elapsed time: 690.379951 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ocn.log' with abstol=0.03, reltol=0.03 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/628.pop2_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 16:30:36 (1625862636.08581) Stop command: 2021-07-09 16:30:36 (1625862636.37016) Elapsed time for command: 00:00:00 (0.284343957901001) Benchmark Times: Run Start: 2021-07-09 16:19:05 (1625861945) Run Stop: 2021-07-09 16:30:36 (1625862636) Run Elapsed: 00:11:31 (691) Run Reported: 00:11:30 (690 380134000 690.380134) Success 628.pop2_s peak refspeed ratio=17.20, runtime=690.380134, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 638.imagick_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 16:30:36] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -o refspeed_convert.out -e refspeed_convert.err ../run_peak_refspeed_x86_64-m64.0000/imagick_s_peak.x86_64-m64 -limit disk 0 refspeed_input.tga -resize 817% -rotate -2.76 -shave 540x375 -alpha remove -auto-level -contrast-stretch 1x1% -colorspace Lab -channel R -equalize +channel -colorspace sRGB -define histogram:unique-colors=false -adaptive-blur 0x5 -despeckle -auto-gamma -adaptive-sharpen 55 -enhance -brightness-contrast 10x10 -resize 30% refspeed_output.tga > refspeed_convert.out 2>> refspeed_convert.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 16:30:36 (1625862636.41428) Stop command: 2021-07-09 16:44:45 (1625863485.48551) Elapsed time for command: 00:14:09 (849.071229934692) Workload elapsed time (copy 0 workload 1) = 848.914062 seconds Copy 0 of 638.imagick_s (peak refspeed) run 3 finished at 2021-07-09 16:44:45. Total elapsed time: 848.914062 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'refspeed_validate.out' with reltol=0.01 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/638.imagick_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 16:44:45 (1625863485.55073) Stop command: 2021-07-09 16:44:46 (1625863486.07939) Elapsed time for command: 00:00:00 (0.528656005859375) Benchmark Times: Run Start: 2021-07-09 16:30:36 (1625862636) Run Stop: 2021-07-09 16:44:45 (1625863485) Run Elapsed: 00:14:09 (849) Run Reported: 00:14:08 (848 914110000 848.91411) Success 638.imagick_s peak refspeed ratio=16.99, runtime=848.914110, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 644.nab_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 16:44:46] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -o 3j1n.out -e 3j1n.err ../run_peak_refspeed_x86_64-m64.0000/nab_s_peak.x86_64-m64 3j1n 20140317 220 > 3j1n.out 2>> 3j1n.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 16:44:46 (1625863486.10606) Stop command: 2021-07-09 16:53:57 (1625864037.41606) Elapsed time for command: 00:09:11 (551.30999994278) Workload elapsed time (copy 0 workload 1) = 551.153786 seconds Copy 0 of 644.nab_s (peak refspeed) run 3 finished at 2021-07-09 16:53:57. Total elapsed time: 551.153786 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing '3j1n.out' with reltol=0.01, skipreltol=2 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/644.nab_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 16:53:57 (1625864037.48948) Stop command: 2021-07-09 16:53:57 (1625864037.72859) Elapsed time for command: 00:00:00 (0.239105939865112) Benchmark Times: Run Start: 2021-07-09 16:44:46 (1625863486) Run Stop: 2021-07-09 16:53:57 (1625864037) Run Elapsed: 00:09:11 (551) Run Reported: 00:09:11 (551 153836000 551.153836) Success 644.nab_s peak refspeed ratio=31.70, runtime=551.153836, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 649.fotonik3d_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 16:53:57] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -o fotonik3d_s.log -e fotonik3d_s.err ../run_peak_refspeed_x86_64-m64.0000/fotonik3d_s_peak.x86_64-m64 > fotonik3d_s.log 2>> fotonik3d_s.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 16:53:57 (1625864037.7971) Stop command: 2021-07-09 17:16:21 (1625865381.05234) Elapsed time for command: 00:22:23 (1343.25523209572) Workload elapsed time (copy 0 workload 1) = 1343.097877 seconds Copy 0 of 649.fotonik3d_s (peak refspeed) run 3 finished at 2021-07-09 17:16:20. Total elapsed time: 1343.097877 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'pscyee.out' with abstol=1e-27, floatcompare=1, obiwan=1, reltol=1e-10 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/649.fotonik3d_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 17:16:21 (1625865381.0778) Stop command: 2021-07-09 17:16:21 (1625865381.56384) Elapsed time for command: 00:00:00 (0.486040115356445) Benchmark Times: Run Start: 2021-07-09 16:53:57 (1625864037) Run Stop: 2021-07-09 17:16:21 (1625865381) Run Elapsed: 00:22:24 (1344) Run Reported: 00:22:23 (1343 97931000 1343.097931) Success 649.fotonik3d_s peak refspeed ratio=6.79, runtime=1343.097931, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 654.roms_s refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 17:16:21] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') roms_s: Prerun decomposition for 4 threads: NtileI=4 NtileJ=4 Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -i ocean_benchmark3.in -o ocean_benchmark3.log -e ocean_benchmark3.err ../run_peak_refspeed_x86_64-m64.0000/sroms_peak.x86_64-m64 < ocean_benchmark3.in > ocean_benchmark3.log 2>> ocean_benchmark3.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 17:16:21 (1625865381.59891) Stop command: 2021-07-09 17:53:47 (1625867627.66856) Elapsed time for command: 00:37:26 (2246.06965589523) Workload elapsed time (copy 0 workload 1) = 2245.912421 seconds Copy 0 of 654.roms_s (peak refspeed) run 3 finished at 2021-07-09 17:53:47. Total elapsed time: 2245.912421 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'ocean_benchmark3.log' with abstol=1e-07, reltol=1e-07 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/654.roms_s/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 17:53:47 (1625867627.69439) Stop command: 2021-07-09 17:53:47 (1625867627.9354) Elapsed time for command: 00:00:00 (0.241004943847656) Benchmark Times: Run Start: 2021-07-09 17:16:21 (1625865381) Run Stop: 2021-07-09 17:53:47 (1625867627) Run Elapsed: 00:37:26 (2246) Run Reported: 00:37:25 (2245 912607000 2245.912607) Success 654.roms_s peak refspeed ratio=7.01, runtime=2245.912607, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% ----------------------------------- Running (#3) 996.specrand_fs refspeed (ref) peak x86_64-m64 threads:4 [2021-07-09 17:53:47] OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') Commands to run (specinvoke command file): -r -N C -C /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -o rand.234923.out -e rand.234923.err ../run_peak_refspeed_x86_64-m64.0000/specrand_fs_peak.x86_64-m64 1255432124 234923 > rand.234923.out 2>> rand.234923.err (timed) Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -f speccmds.cmd -q -e speccmds.err -o speccmds.stdout' Start command: 2021-07-09 17:53:48 (1625867628.00788) Stop command: 2021-07-09 17:53:48 (1625867628.20485) Elapsed time for command: 00:00:00 (0.196974039077759) Workload elapsed time (copy 0 workload 1) = 0.039257 seconds Copy 0 of 996.specrand_fs (peak refspeed) run 3 finished at 2021-07-09 17:53:48. Total elapsed time: 0.039257 OpenMP environment variables removed: None OpenMP environment variables in effect: OMP_NUM_THREADS => '4' OMP_STACKSIZE => '120M' Pre-run environment changes: 'OMP_NUM_THREADS' added: (value now '4') comparing 'rand.234923.out' with floatcompare=1, nansupport=0 Specinvoke: /notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout Issuing command '/notnfs/vmakarov/spec2017/bin/specinvoke -d /notnfs/vmakarov/spec2017/benchspec/CPU/996.specrand_fs/run/run_peak_refspeed_x86_64-m64.0000 -f compare.cmd -E -e compare.err -o compare.stdout' Start command: 2021-07-09 17:53:48 (1625867628.23305) Stop command: 2021-07-09 17:53:48 (1625867628.93265) Elapsed time for command: 00:00:00 (0.6996009349823) Benchmark Times: Run Start: 2021-07-09 17:53:48 (1625867628) Run Stop: 2021-07-09 17:53:48 (1625867628) Run Elapsed: 00:00:00 (0) Run Reported: 00:00:00 (0 39301000 0.039301) Success 996.specrand_fs peak refspeed ratio=254.45, runtime=0.039301, copies=1, threads=4, power=0.00W, temp=0.00 degC, humidity=0.00% Success: 6x603.bwaves_s 6x607.cactuBSSN_s 6x619.lbm_s 6x621.wrf_s 3x627.cam4_s 6x628.pop2_s 6x638.imagick_s 6x644.nab_s 6x649.fotonik3d_s 6x654.roms_s 6x996.specrand_fs Error: 3x627.cam4_s Producing Raw Reports label: x86_64-m64 workload: refspeed (ref) benchset: intspeed benchset: intrate benchset: fpspeed metric: SPECspeed2017_fp_base, SPECspeed2017_fp_peak format: raw -> /notnfs/vmakarov/spec2017/result/CPU2017.044.fpspeed.refspeed.rsf Parsing flags for 603.bwaves_s base: done Parsing flags for 603.bwaves_s peak: done Parsing flags for 607.cactuBSSN_s base: done Parsing flags for 607.cactuBSSN_s peak: done Parsing flags for 619.lbm_s base: done Parsing flags for 619.lbm_s peak: done Parsing flags for 621.wrf_s base: done Parsing flags for 621.wrf_s peak: done Parsing flags for 627.cam4_s base: done Parsing flags for 627.cam4_s peak: done Parsing flags for 628.pop2_s base: done Parsing flags for 628.pop2_s peak: done Parsing flags for 638.imagick_s base: done Parsing flags for 638.imagick_s peak: done Parsing flags for 644.nab_s base: done Parsing flags for 644.nab_s peak: done Parsing flags for 649.fotonik3d_s base: done Parsing flags for 649.fotonik3d_s peak: done Parsing flags for 654.roms_s base: done Parsing flags for 654.roms_s peak: done Doing flag reduction: done format: flags -> /notnfs/vmakarov/spec2017/result/CPU2017.044.fpspeed.refspeed.flags.html format: cfg -> /notnfs/vmakarov/spec2017/result/CPU2017.044.fpspeed.refspeed.cfg format: CSV -> /notnfs/vmakarov/spec2017/result/CPU2017.044.fpspeed.refspeed.csv format: PDF -> A line in your General Notes section is too long! /notnfs/vmakarov/spec2017/result/CPU2017.044.fpspeed.refspeed.pdf format: HTML -> /notnfs/vmakarov/spec2017/result/CPU2017.044.fpspeed.refspeed.html format: Text -> /notnfs/vmakarov/spec2017/result/CPU2017.044.fpspeed.refspeed.txt benchset: fprate runcpu finished at 2021-07-09 17:53:56; 74462 total seconds elapsed The log for this run is in /notnfs/vmakarov/spec2017/result/CPU2017.044.log runcpu finished at 2021-07-09 17:53:57; 94084 total seconds elapsed